Bruker Nano, Inc.

Bruker Nano, Inc. List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Bruker Nano, Inc.. You can filter them based on skills, years of employment, job, education, department, and prior employment.

Bruker Nano, Inc. Salaries. You can even request information on how much does Bruker Nano, Inc. pay if you want to. Learn about salaries, pros and cons of working for Bruker Nano, Inc. directly from the past employees.

Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!

67 Bruker Nano, Inc. employees in database. Find out everything there's to know about Bruker Nano, Inc. employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.

Bruker Nano, Inc. Employees

Employee
Years
Job
Industry
Whit Morehouse Whit Morehouse Charleston, South Carolina Details
Whit Morehouse's Bruker Nano, Inc. Experience February 2010 - June 2012
Job Director, New Customer Acquisition at Wyndham Vacation Rentals North America
Industry Hospitality
Experience
Wyndham Worldwide  July 2015 - Present
SBTDC  May 2014 - July 2014
Durham Bulls Baseball Club  January 2014 - May 2014
Amazon  July 2012 - June 2013
Bruker Nano, Inc.   February 2010 - June 2012
US Navy  May 2004 - December 2009

Skills
Six Sigma, Supply Management, Cross-functional Team..., Lean Manufacturing, Strategic Sourcing, Project Management, Management, Process Improvement, Supply Chain Management, Operations Management, Program Management, Strategy, Leadership, Strategic Planning, Business Strategy, Analysis, Continuous Improvement

Education
Duke Fuqua School of Business   2013 — 2015
Master of Business Administration (MBA)

United States Naval Academy   2000 — 2004
Bachelor of Science (B.S.), Economics

Kevin Button Kevin Button Vail, Arizona Details
Kevin Button's Bruker Nano, Inc. Experience July 1998 - Present
Job MFG ENG MGR at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   July 1998 - Present
Veeco Instruments Corp   July 1998 - October 2010
Bell and Howell, LLC/COPE Co.   January 1995 - June 1998
Bell and Howell, LLC/ COPE Co.   January 1994 - January 1995
Bell and Howell, LLC/ COPE Co.   October 1991 - January 1994
Hughes Aircraft Company  February 1989 - February 1991
Hughes Aircraft Company  May 1985 - February 1989

Skills
Concurrent Engineering, Engineering Management, Strategic Sourcing, Project Management, DFMEA, Facilities Management, Continuous Improvement, Lean Manufacturing, Cycle Time Reduction, 5S, Engineering, Kaizen, Manufacturing, Metrology, Manufacturing..., Product Development, Program Management, R&D, Supply Chain Management, Testing

Education
Northern Arizona University   1980 — 1985
Bachelor of Science (BS), Industrial Engineering

Northern Arizona University   1980 — 1985
Associate's degree, Business Administration and Management, General

Martin(Yu) Wang Martin(Yu) Wang San Francisco Bay Area Details
Martin(Yu) Wang's Bruker Nano, Inc. Experience June 2011 - December 2011
Job Software Engineer @ Modeling , Performance Team at Quantcast
Industry Computer Software
Experience
Quantcast  September 2015 - Present
Qualcomm  January 2013 - August 2015
Photometrics  January 2012 - December 2012
Bruker Nano, Inc.   June 2011 - December 2011
Stony Brook University  September 2009 - April 2011

Skills
C#, C++, C, Data Structures, Java, Programming, Android, Ruby, Debugging, Linux, XML, Metrology, Software Design Patterns, MySQL, Hibernate, Spring, JavaScript, MVC, Algorithms

Education
State University of New York at Stony Brook   2009 — 2011
Master's degree, Electrical &Computer engineering, 3.7

Huazhong University of Science and Technology   2004 — 2008
B.E, Power &computer science

Phani Kondapani Phani Kondapani Santa Clara, California Details
Phani Kondapani's Bruker Nano, Inc. Experience June 2011 - August 2013
Job Technical/Applications Support Engineer at Park Systems Inc.
Industry Nanotechnology
Experience
Park Systems Inc.  September 2013 - Present
Bruker Nano, Inc.   June 2011 - August 2013
Arizona State University  August 2010 - October 2010
Arizona State University  August 2009 - July 2010

Skills
AFM, Physics, Metrology, Python, Nanomaterials, Thin Films, Semiconductors, Spectroscopy, Microscopy, Optical Microscopy, Digital Imaging, Scanning Tunneling..., Mathematica, Scanners, SAP, Stylus Profiler, SCM, EFM, SThm

Education
Arizona State University   2009 — 2010
Master's degree, Nanoscience

Visvesvaraya Technological University   2004 — 2008
Bachelor of Science, Electrical and Electronics Engineering

Sara Tichenor Sara Tichenor Tucson, Arizona Area Details
Sara Tichenor's Bruker Nano, Inc. Experience October 2010 - April 2011
Job Marketing Promotions Manager at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano Surfaces  June 2014 - Present
Bruker Nano Surfaces  April 2011 - June 2014
Bruker Nano, Inc.   October 2010 - April 2011
Veeco Instruments  March 2009 - January 2011
Veeco Instruments  September 2007 - March 2009
Veeco Instruments  December 2005 - August 2007
Hitachi High Technologies   2000 - December 2005
EDAX Inc.   1998 - 2000
Kevex  January 1997 - October 1997

Skills
Nanotechnology, Product Development, Product Marketing, Product Management, Metrology, Product Launch, Spectroscopy, Cross-functional Team..., Competitive Analysis, Project Management, Strategic Planning, Business Development, Data Analysis, Analysis, Electronics, Marketing Strategy, R&D, CRM, Forecasting

Education
Bates College   1992 — 1996
BA, Geology

Denis Gorbunov Denis Gorbunov Greater Seattle Area Details
Denis Gorbunov's Bruker Nano, Inc. Experience June 2011 - June 2013
Job SDE II at Microsoft Azure
Industry Computer Software
Experience
Microsoft  July 2014 - Present
Symantec  June 2013 - June 2014
Bruker Nano, Inc.   June 2011 - June 2013
Veeco Instruments  January 2008 - June 2011
The University of Arizona  January 2009 - May 2011
Veeco Instruments  January 2003 - January 2008
Veeco Instruments  August 2001 - January 2003

Skills
c++, Algorithms, Image Processing, C, Python, Java, Simulations, Software Development, C#, Linux, Debugging, C++, Software Engineering, Software Design, Distributed Systems

Education
University of Arizona   2007 — 2011
MS, Computer Science

Eamon Searson Eamon Searson Santa Barbara, California Area Details
Eamon Searson's Bruker Nano, Inc. Experience March 2000 - Present
Job Senior Staff Mechanical Engineer at Bruker Nano Instruments
Industry Nanotechnology
Experience
Bruker Nano, Inc.   March 2000 - Present
ASHA  August 1997 - September 1999
Western Digital  July 1992 - August 1997

Skills
Metrology, Finite Element Analysis, Optics, Semiconductors, ANSYS, Scanning Probe..., Product Development, GD&T, Nanotechnology, Labview, Design of Experiments, Injection Molding, Materials Science, Project Management, Product Design, Data Acquisition, Matlab, AFM, Solid Edge

Education
California Polytechnic State University-San Luis Obispo   1990 — 1992
BSME, Mechanical Engineering

Dublin Institute of Technology   1980 — 1983
MET, Mechanical Engineering Technician

Teresa Arellanes Teresa Arellanes Santa Barbara, California Area Details
Teresa Arellanes's Bruker Nano, Inc. Experience January 2012 - August 2015
Job Buyer at Bruker Nano, Inc.
Industry Consumer Services
Experience
Bruker Nano, Inc.   January 2012 - August 2015
Accountemps  October 2010 - February 2012
Mission Linen Supply  September 1991 - January 2009
ABC-CLIO  January 1989 - August 1991

Skills
Inventory Management, Customer Service, SAP, Purchasing, Forecasting, Problem Solving, Team Building, Customer Satisfaction, SAP MM, SAP Procurement, Microsoft Word, Vendor Relationships, Process Improvement, Procurement, Microsoft Office, Training, Inventory Control, MRP, Sourcing, Materials Management, Pricing, Committed to Customer..., Microsoft Excel

Education
Santa Barbara City College   1982 — 1983
n/a, Business Office Education

K-12

Tim Ballinger Tim Ballinger Santa Barbara, California Details
Tim Ballinger's Bruker Nano, Inc. Experience January 1998 - February 2013
Job Sales & Marketing Professional
Industry Nanotechnology
Experience
DCG Systems  June 2015 - Present
Multiprobe  August 2013 - Present
Bruker Nano, Inc.   January 1998 - February 2013
Veeco Instruments  August 1983 - January 1998

Skills
Nanotechnology, Product Development, Semiconductors, Metrology, Product Management, Market Research, Advertising, Product Marketing, Key Account Management, Marketing Communications, Sales, Trade Shows, Management

Education
Cal Poly San Luis Obispo   1980 — 1982
Bachelor of Applied Science (B.A.Sc.)

Teimour Maleki Teimour Maleki Santa Barbara, California Area Details
Teimour Maleki's Bruker Nano, Inc. Experience April 2012 - March 2014
Job Director of MEMS Business and Technology
Industry Higher Education
Experience
Asylum Research, an Oxford Instruments Company  August 2015 - Present
Bruker Nano Surfaces  April 2014 - June 2015
Bruker Nano, Inc.   April 2012 - March 2014
Purdue University  October 2010 - April 2012
Purdue University  February 2010 - October 2010
Purdue University  January 2006 - January 2010
RSA Electronics   May 2003 - June 2005

Skills
Microfabrication, Packaging, Implantable devices, FIB, Thin Films, Microscopy, LaTeX, COMSOL, Simulations, Matlab, AFM, Characterization, PCB design, Analog Circuit Design, Sputtering, Raman, Biomedical Engineering, R&D, Scanning Electron..., MEMS, TEM, Signal Processing, Optics, Physics, Clean Rooms, Microfluidics, Microcontrollers, Image Processing, Experimentation, Mathematical Modeling, Labview, Sensors, Medical Devices, Mathematica, Photolithography, Confocal Microscopy, Design of Experiments, Semiconductors, Nanofabrication, Lithography, Spectroscopy, PECVD, Materials Science, Ellipsometry, CVD, Implants, Analog, Powder X-ray Diffraction, Photonics, Nanomaterials

Education
Purdue University   2006 — 2010
Ph.D, Electrical and Computer Engineering

University of Tehran   2000 — 2003
M.Sc, Electrical and Computer Engineering

Amirkabir University of Technology - Tehran Polytechnic   1996 — 2000
B.Sc, Biomedical Engineering

Deepak Kohli Deepak Kohli Cupertino, California Details
Deepak Kohli's Bruker Nano, Inc. Experience July 2012 - May 2014
Job Advanced Manufacturing Engineer at Apple
Industry Computer Hardware
Experience
Apple  May 2014 - Present
Bruker Nano, Inc.   July 2012 - May 2014
Center for Precision Metrology   August 2010 - July 2012
ASPE UNCC student chapter   May 2011 - June 2012
Center for Precision Metrology   October 2010 - June 2012
nanoPrecision Products  May 2011 - August 2011
National Aspheric Facility,CSIO-CSIR   January 2009 - July 2010

Skills
Metrology, Mechanical Engineering, Solidworks, Manufacturing, Engineering, Machine Design, Machining, Machinery, Machine Tools, Geometric Dimensioning..., Dimensional Metrology, Precision Cutting, Optics, GD&T, Interferometry, ANSYS, Semiconductors, COMSOL, Maple, Materials Science, R&D, Nanotechnology, Numerical Analysis, FMEA, Lean Manufacturing, Design of Experiments, Testing, Solid Edge, Precision Tooling, Atomic Force Microscope, Statistics, Design for Manufacturing, AFM

Education
University of North Carolina at Charlotte   2010 — 2012
M.S., Advanced Manufacturing and Precision Metrology-Mechanical Engineering

Punjabi University   2005 — 2009
Bachelor of Technology, Mechanical Engineering

G.M.S.S.S.- 35, Chandigarh   2003 — 2005
All India Senior School Certificate Examination(2005), Science

St. Xavier's Sr. Sec School, Chandigarh   1998 — 2003
Indian Certificate of Secondary Education(2003), Science

Andy Mild Andy Mild Philadelphia, Pennsylvania Details
Andy Mild's Bruker Nano, Inc. Experience August 2010 - May 2012
Job Senior Category Manager at USM
Industry Retail
Experience
USM  April 2015 - Present
GSK  October 2013 - April 2015
Amazon  May 2012 - October 2013
Bruker Nano, Inc.   August 2010 - May 2012
US Navy  July 2009 - July 2010
US Navy  October 2007 - July 2010
US Navy  April 2007 - July 2010
US Navy  April 2006 - March 2007
Patrol Squadron One  2004 - 2007
United States Navy  2005 - 2006

Skills
Military Operations, Military, Team Building, Navy, Risk Management, Operational Planning, Leadership, Technology Needs..., Operations Management, Strategic Planning, Inventory Management, Management, Analysis, Procurement, Project Planning, Command, Security Clearance, Military Experience, DoD, Continuous Improvement, Supply Chain Operations

Education
Troy University   2011 — 2014
Master of Business Administration (M.B.A.), Business Administration

United States Naval Academy   1997 — 2001
Bachelor of Science (BS), Applied Physics - Oceanography

Rebecca Ethridge Rebecca Ethridge Albany, Georgia Area Details
Rebecca Ethridge's Bruker Nano, Inc. Experience April 2011 - March 2013
Job Quality Assurance Specialist at Pfizer
Industry Consumer Services
Experience
Pfizer  September 2014 - Present
Pfizer  February 2014 - September 2014
Bruker Nano, Inc.   April 2011 - March 2013
NTS Communications  September 2009 - September 2010
Dougherty Heating & Cooling   November 2007 - January 2009
Watersall   August 2005 - January 2008

Skills
Customer Service, Microsoft Excel, Microsoft Office, Microsoft Word, Inventory Management, Purchasing, Accounts Receivable, Accounts Payable, Outlook, QuickBooks, PowerPoint, Office Management, SAP, Leadership, Access, Management, Process Improvement

Education
Georgia Southwestern State University   2004 — 2006
Bachelor of Science (B.S.), Information Technology Project Management

Darton College   2003 — 2004
Associate of Science (AS), Business Administration and Management, General

Lee County High School   1997 — 2001

J.C. Gutierrez J.C. Gutierrez Santa Barbara, California Details
J.C. Gutierrez's Bruker Nano, Inc. Experience July 2011 - March 2012
Job Process Technician at Calient Networks
Industry Telecommunications
Experience
Calient Networks  March 2012 - Present
Bruker Nano, Inc.   July 2011 - March 2012
Conmed Linvatec  June 2004 - July 2011

Skills
Principal Component..., Electrical Engineering, Electronics, Electro-mechanical, Quality System, Wireless, ISO 13485, Lean Manufacturing, FDA, Manufacturing, Continuous Improvement, Testing, Medical Devices, Troubleshooting, Test Equipment

Education
ITT Technical Institute-Oxnard   2004 — 2006
Electrical and Electronics Engineering

Shemi Hart Shemi Hart Greater Los Angeles Area Details
Shemi Hart's Bruker Nano, Inc. Experience February 2013 - August 2013
Job Transformation Leader who Drives High Growth & Profitability | Finance | Operations | General Management | M&A
Industry Semiconductors
Experience
WET Design  December 2013 - Present
Independent Management Consultant  2012 - Present
Bruker Nano, Inc.   February 2013 - August 2013
Veeco Instruments, Inc.   October 2009 - February 2012
Veeco Instruments, Inc.   July 2007 - October 2009
Veeco Instruments, Inc.   2005 - 2007
Teradyne, Inc.   1999 - 2005
Teradyne, Inc.   1996 - 1999
PRICE PFISTER (Division of Black & Decker)   1995 - 1996
VALDES ZACKY ASSOCIATES   1994 - 1995

Skills
Product Development, Performance Improvement, Integration, Strategy, Mergers & Acquisitions, Due Diligence, Management, Leadership, Outsourcing, Change Management, Process Improvement, Strategic Planning, P&L Management, Manufacturing, Start-ups

Education
The University of Chicago - Booth School of Business
Mergers and Acquisitions

University of Southern California - Executive Education
Leadership Development for High Performing Teams

University of Southern California - Marshall School of Business
BS, Business Administration (Entrepreneurship)

Robert Loiterman Robert Loiterman Greater New York City Area Details
Robert Loiterman's Bruker Nano, Inc. Experience 2011 - 2014
Job Global GM, Marketing and Operations Executive High Technology Businesses for Industrial & Semiconductor Markets
Industry Electrical/Electronic Manufacturing
Experience
Novegy Solutions, LLC   2014 - Present
Bruker Nano, Inc.   2011 - 2014
GrantAdler Corporation   2008 - 2011
Rudolph Technologies  1988 - 2008

Skills
Product Management, Business Planning, P&L Management, Engineering Management, Product Development, New Business Development, Product Launch, Operations Management, Mergers & Acquisitions, Cross-functional Team..., Marketing, International Sales &..., Key Account..., Branding, Sales Channel Creation, Business and..., Market Segmentation, Product Life Cycle, Industrial Sector, Electronics, Semiconductor Industry, Metrology, Thin Films, Medical Devices, Entrepreneurship, Start-ups, Global, Multicultural, Goal Driven, Strategic

Education
Rutgers, The State University of New Jersey - Rutgers Business School
Master of Business Administration (mini-MBA), Business Essentials

Harvard Business School
Executive Education- Product Marketing and Development and Market Disruptions

Rutgers, The State University of New Jersey-New Brunswick
BS, Biomedical & Electrical Engineering.

Industrial Business Programs
Managing strategic transformations, Business finance strategy

James Teevan James Teevan Acra, New York Details
James Teevan's Bruker Nano, Inc. Experience April 2011 - Present
Job Customer Service Engineer at Bruker Nano Surfaces Business
Industry Semiconductors
Experience
Bruker Nano, Inc.   April 2011 - Present
Lehigh Universtiy   August 2007 - May 2010

Skills
AFM, Photolithography, Scanning Electron..., Nanotechnology, Optical Microscopy, FIB, Microscopy, Nanofabrication, Materials, Experimentation, Etching, Clean Rooms, Materials Science, Characterization, Thin Films, Semiconductors

Education
Lehigh University   2007 — 2010
Master of Science, Materials Science and Engineering, Ceramics

Mansfield University of Pennsylvania   2003 — 2007
Bachelor of Science, Physics, Nanotechnology

Mansfield University of Pennsylvania   2002 — 2006
Bachelor of Arts, Music, Clarinet Concentration

Senli Guo Senli Guo Goleta, California Details
Senli Guo's Bruker Nano, Inc. Experience September 2011 - Present
Job Senior Sales Application Scientist
Industry Nanotechnology
Experience
Bruker Nano, Inc.   September 2011 - Present
Oak Ridge National Laboratory  April 2009 - September 2011

Skills
AFM, SCM, SSRM, Lithium-ion Batteries, single molecule force..., Nanoindentation, Surface Chemistry, KPFM, MFM, EFM, Glove Box, Electrochemistry, Matlab, MALDI-TOF, XPS, SEM, PFM, FTIR, Wire bonding, UV-Vis absorption..., Materials Science, Nanotechnology, Spectroscopy, Thin Films, Characterization, Powder X-ray Diffraction, UV/Vis

Education
Duke University
Ph.D, Chemistry

Peking University
Bachelor & Master, Chemistry

J.D. Herron J.D. Herron Phoenix, Arizona Area Details
J.D. Herron's Bruker Nano, Inc. Experience December 2010 - June 2012
Job Senior Software Engineer at VirTra Systems
Industry Computer Software
Experience
VirTra Systems  June 2012 - Present
Bruker Nano, Inc.   December 2010 - June 2012
Veeco  January 1997 - December 2010
WYKO   1997 - 1999

Skills
Metrology, Optics, Matlab, Product Development, Systems Engineering, Semiconductors, Product Management, Data Acquisition, Characterization, Labview, Sensors, MOCVD, Digital Signal..., Image Processing, FPGA, AFM, R&D, C++, Nanotechnology, Electronics, Testing, Software Development

Education
University of Arizona   2004 — 2008
PSM, Applied Bioscience

University of Arizona   1991 — 1996
BS, Computer Science & Physics

Adam Mednick Adam Mednick San Francisco Bay Area Details
Adam Mednick's Bruker Nano, Inc. Experience August 2009 - November 2011
Job Revenue Hacker
Industry Internet
Experience
Facebook  June 2015 - Present
Applied Materials  January 2013 - May 2015
Bruker Nano, Inc.   August 2009 - November 2011
Veeco Metrology  September 2006 - August 2009
Lawrence Livermore National Laboratory  June 2005 - December 2005

Skills
Product Management, Product Marketing, Software Product..., Data Visualization, User Experience, Statistical Data..., Nanotechnology, AFM, MEMS, Semiconductor Industry, Materials Science, Metrology, R&D, Semiconductors, Thin Films, Characterization, Testing, Optics, Design of Experiments, Sensors, Yoga Teacher, Mobile Applications, Web Applications, MongoDB, AngularJS, SEM, Raspberry Pi, Arduino, Management, Electronics, Product Development, Materials, Engineering, Physics

Education
Pepperdine University, The George L. Graziadio School of Business and Management   2007 — 2009
MBA, Business & Management, Organizational Development, & Finance

California Polytechnic State University-San Luis Obispo   2005 — 2006
M.S., Electrical Engineering

California Polytechnic State University-San Luis Obispo   2001 — 2005
B.S., Electrical Engineering & Physics

Denis Koosau Denis Koosau San Francisco Bay Area Details
Denis Koosau's Bruker Nano, Inc. Experience October 2011 - March 2013
Job Senior Engineer at RTECINSTRUMENTS INC.
Industry Research
Experience
RTEC-INSTRUMENTS INC.   April 2013 - Present
Bruker Nano, Inc.   October 2011 - March 2013
Center for Tribology, CETR   January 2010 - October 2011
Applied Materials  June 1996 - January 2010

Education
San Jose State University   1995 — 1997
MS, Mechanical Engineering

Pontificia Universidad Católica del Perú   1980 — 1989
BS Mechanical Engineer, Mechanical Engineering

Colegio America   1974 — 1979
High School

Lauren Michels Lauren Michels Greater Los Angeles Area Details
Lauren Michels's Bruker Nano, Inc. Experience April 2005 - Present
Job Photography Professional
Industry Photography
Experience
Bruker Nano, Inc.   April 2005 - Present
Studio 1125   July 2011 - Present
Brightroom Event Photography · Southern California   April 2009 - December 2012
Ashcraft Photography   July 2010 - January 2012
Picture People ·   May 2010 - March 2011
TSS Photography  April 2009 - May 2010
· Tim G   October 2008 - December 2009
Good Magazine  August 2008 - October 2008
Herff Jones Photography  August 2008 - October 2008
Brooks Institute Digital Laboratories   November 2007 - October 2008

Skills
Digital Imaging, Photography, Image Manipulation, Photos, Portrait Photography, Digital Photography, Portraits, Headshots, Event Photography, Studio Lighting, Lightroom, Commercial Photography

Education
Brooks Institute of Photography   2005 — 2008
Bachelor of Arts, Professional Photography

Mt. San Jacinto Community College District   2003 — 2005
Associate of Science (AS), Sociology

Chris Boney Chris Boney Tucson, Arizona Area Details
Chris Boney's Bruker Nano, Inc. Experience October 2012 - Present
Job Engineer
Industry Electrical/Electronic Manufacturing
Experience
Bruker Nano, Inc.   October 2012 - Present
Universitiy of Houston   March 2004 - September 2012
Integrated Micro Sensors   2008 - July 2012
Integrated Micro Sensors   2003 - 2007
University of Houston  2001 - 2003
University of Houston  2000 - 2001
North Carolina State University  June 1999 - February 2000

Skills
Characterization, Thin Films, Semiconductors, Molecular Beam Epitaxy, Photovoltaics, Ellipsometry, Solar Cells, Nanotechnology, Vacuum, Materials Science, optical characterization, semiconductor thin film..., electrical..., structural..., X-ray crystallography, photoluminescence, Vacuum Technology, Microscopy, White Light..., Physics

Education
North Carolina State University   1993 — 1999
Doctor of Philosophy (Ph.D.), Solid State Physics

North Carolina State University   1989 — 1993
Bachelor of Science (BS), Physics, summa cum laude

NC School of Science and Math   1987 — 1989

Eric Milligan Eric Milligan Goleta, California Details
Eric Milligan's Bruker Nano, Inc. Experience 2011 - March 2013
Job Development Engineer at Bruker Nano Surfaces
Industry Nanotechnology
Experience
Bruker Nano Surfaces  March 2013 - Present
Bruker Nano, Inc.   2011 - March 2013
Bruker Nano Surfaces  June 2011 - October 2011
Purdue University  January 2011 - May 2011
Purdue University  January 2008 - December 2010

Education
Purdue University   2006 — 2011
Bachelor's degree, Electrical and Computer Engineering Technology

Purdue University
Bachelor's degree

Ian Armstrong Ian Armstrong Santa Barbara, California Details
Ian Armstrong's Bruker Nano, Inc. Experience November 2011 - Present
Job Application Scientist at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   November 2011 - Present
Bruker AXS  March 2008 - December 2011
Swansea University  March 2007 - March 2008
Swansea University  September 2005 - September 2006

Skills
Atomic Force Microscopy, Interferometry, Stylus Profilometry, Technical Presentations, Product Demonstration, Personal Training, Metrology, Nanotechnology, Profilometer, AFM, Characterization, Microscopy, Physics, Scanning Electron..., Thin Films, Materials Science, Semiconductors, Science

Education
University of Wales, Swansea   2004 — 2008
PhD, Nanotechnology

University of Wales, Swansea   2003 — 2004
MPhys, Physics

Swansea University   1999 — 2003
BSc, Physics

Javier O. Vera Javier O. Vera Orange County, California Area Details
Javier O. Vera's Bruker Nano, Inc. Experience March 2011 - November 2012
Job Senior CMM Specialist at PolyWorks USA
Industry Mechanical or Industrial Engineering
Experience
PolyWorks USA   2015 - Present
VCSE Services LLC   April 2010 - Present
Pantec Engineering AG   January 2013 - November 2014
Bruker Nano, Inc.   March 2011 - November 2012
Automated Precision Inc.  2010 - 2011
VCSE Services LLC   2009 - 2010
Hexagon Metrology  2006 - 2009
Mahr Federal  2001 - 2006
ZEISS Industrial Metrology  1998 - 2000
Applied Image Inc  1996 - 1998

Skills
Metrology, Applied Physics, Measurement System..., Design of Experiments, Tribology, Engineering, Product Management, Market Planning, Surface Engineering, Budget Preparation, Document Management, International Business, Product Marketing, Marketing Strategy, SPC, Lubrication, Product Development, International Marketing, Competitive Analysis, Business Strategy, Product Strategy, Marketing Management, Pricing, Market Analysis, Channel Management, Go-to-market Strategy, Budgeting, Thin Films, International Sales, Strategic Partnerships, Account Management, Channel Partner..., Market Development, Budgets, Manufacturing, Channel Partners, Medical Devices, Leadership, Sales, R&D, Cross-functional Team..., Business Development, Project Management, Sales Management, Strategic Planning, Management, Program Management, Product Launch, Sales Process, Marketing

Education
University of Phoenix   2011 — 2014
MBA

University of Phoenix   2009 — 2011
BSB-GBM

Apollo College   2006 — 2008
AA-IT

New Horizons CLC   2010 — 2010
Certification

Randall Beaubien Randall Beaubien Colorado Springs, Colorado Area Details
Randall Beaubien's Bruker Nano, Inc. Experience October 2000 - March 2014
Job JPK Instruments
Industry Semiconductors
Experience
Bruker Nano, Inc.   October 2000 - March 2014
FEI Company  1995 - 2000

Skills
Surface metrology..., Thin Films, Metrology, Failure Analysis, MEMS, Microscopy, Nanotechnology, Surface Metrology, Semiconductors, Instrumentation, Optics, Product Marketing, Lithography

Education
Michigan State University

Emilio Yanine Emilio Yanine Tucson, Arizona Area Details
Emilio Yanine's Bruker Nano, Inc. Experience August 2002 - Present
Job Sr. Staff Manager, Software Engineering
Industry Nanotechnology
Experience
Bruker Nano, Inc.   August 2002 - Present
University of Phoenix  June 1996 - July 2013
Veeco Instruments  December 1998 - August 2002
Veeco Instruments  June 1997 - December 1998
CEO Software   1995 - 1997
Photometrics  1993 - 1995
Photometrics  1992 - 1993
Burr Brown Corporation  1986 - 1992
Texscan Corporation   1984 - 1986

Skills
Software Engineering, Semiconductors, R&D, Software Design, Electronics, Software Development, Engineering Management, Image Processing, C++, Product Development, Engineering, Sensors, Simulations, Digital Signal..., Matlab, Labview, FPGA, Project Management, Agile Methodologies

Education
University of Arizona   1988 — 1991
MBA, Finance

The University of Texas at El Paso   1982 — 1984
MSCE, Computer Engineering

The University of Texas at El Paso   1978 — 1982
BSEE, Electrical Engineering

Ning Ding Ning Ding Santa Barbara, California Area Details
Ning Ding's Bruker Nano, Inc. Experience November 2012 - Present
Job Sr. Mechanical Engineer at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   November 2012 - Present
University of Southern California  August 2009 - September 2012
University of Southern California  August 2009 - September 2012
Virginia Polytechnic Institute and State University  August 2007 - August 2009
Beijing University of Aeronautics and Astronautics  September 2003 - July 2007

Skills
Matlab, Engineering, Fortran, Machining, CFD, Tecplot, Vacuum Technology, LaTeX, Mathematica, LabVIEW, Assembly, C, Fluent, Gridgen, Catia, AutoCAD, Linux, Unix, Mac OS, prototype development, instrumentation..., PCB design, Eagle, Microcontrollers, lathing, milling, computer simulation, 3D CAD, 2D Drawing and GD&T, Optical system design, Actuator design, Finite Element Analysis, SolidWorks, Solid Edge, ANSYS, Propulsion

Education
University of Southern California   2009 — 2012
Ph.D., Astronautical Engineering (Plasma Physics/Metrology, Advanced Propulsion, Electromechanical Design), GPA: 3.92/4

Virginia Polytechnic Institute and State University   2007 — 2009
Transferred to USC in 2009, Aerospace Engineering, GPA: 3.91/4

Beijing University of Aeronautics and Astronautics   2003 — 2007
B.S., Spacecraft Design and Engineering (Aircraft, Spacecraft and Launch Vehicle Design), GPA: 3.85/4

Shanghai Gezhi High School   1996 — 2003

Bhavik Vasha Bhavik Vasha San Francisco Bay Area Details
Bhavik Vasha's Bruker Nano, Inc. Experience 2008 - 2011
Job Quality Manager at Applied Materials
Industry Management Consulting
Experience
Calhoun Vision, Inc.   2013 - 2014
Microfabrica  2011 - 2013
Bruker Nano, Inc.   2008 - 2011
Veeco Instruments  2006 - 2008
Skyworks Solutions, Inc  2004 - 2006
Skyworks Solutions, Inc.   2001 - 2004

Skills
Process Improvement, Design of Experiments, Six Sigma, SPC, FMEA, DMAIC, Manufacturing, Semiconductors, Financial Modeling, Process Engineering, Additive Manufacturing, ISO 13485, FDA GMP, Class III Medical..., PMA, MEMS, Business Process..., Supply Chain Management, Financial analysis, M/PCpS, ISO 14001, 8D Problem Solving, Surgical Instruments, New Business Development, Supplier Quality, Business Analysis, Market Analysis, Product Marketing, Channel Partners, Lean Manufacturing, Financial Analysis, Supply Chain, Cross-functional Team..., Engineering Management, Management, R&D, Materials, Process Simulation, Business Strategy, Root Cause Analysis, FDA, Quality Assurance, Quality System, Lean Management, Team Leadership

Education
University of California, Los Angeles - The Anderson School of Management   2008 — 2011
MBA

University of Southern California   1999 — 2001
MS

University of Mumbai   1995 — 1999
B.E.

D.G.Ruparel College
HSC

Fatima Devi English High School
SSC

Saurabh Bakshi Saurabh Bakshi Charlotte, North Carolina Area Details
Saurabh Bakshi's Bruker Nano, Inc. Experience March 2012 - April 2013
Job Warranty (Failures) Engineer at Jacobsen, A Textron Company
Industry Mechanical or Industrial Engineering
Experience
Jacobsen, A Textron Company  July 2013 - Present
Bruker Nano, Inc.   March 2012 - April 2013
Rex Healthcare  August 2011 - February 2012
North Carolina State University  January 2010 - January 2012
North Carolina State University  August 2010 - December 2011
IES, NC State University   June 2010 - September 2010
PARI  January 2009 - June 2009
PARI  July 2007 - January 2008

Skills
Ultrasonics, ANSYS, Engineering, Matlab, Labview, Solidworks, Finite Element Analysis, Pro/Engineer, Medical Devices, Simulation, AutoCAD, Catia, Research, Testing, Assembly, CNC, CAD, Microsoft Office, Product Development, Lean Manufacturing, Simulink, Modeling, Design for Manufacturing, Mathematica, Biomedical Engineering, Problem Solving, Excel, Data Analysis, Characterization, Product Design, R&D, Rapid Prototyping, Manufacturing, Solid Edge, Simulations, Pro Engineer, Mechanical Engineering, GD&T, FMEA, Geometric Dimensioning..., Cnc, SolidWorks

Education
North Carolina State University   2009 — 2011
Master of Science, Mechanical Engineering, Industrial Engineering, Biomedical Engineering, 3.78 GPA

University of Pune   2005 — 2009
Bachelor of Engineering, Mechanical Engineering, 78%, Ranked 8th in the University in the graduating batch of 2009

Amanda Blum Amanda Blum Santa Barbara, California Details
Amanda Blum's Bruker Nano, Inc. Experience March 2011 - January 2012
Job Financial Reporting Manager at Sientra, Inc.
Industry Accounting
Experience
Sientra, Inc.   January 2015 - Present
Nasif, Hicks, Harris & Co., LLP   January 2012 - December 2014
Bruker Nano, Inc.   March 2011 - January 2012
InTouch Technologies  January 2010 - March 2011
InTouch Technologies  June 2007 - January 2010
InTouch Technologies  January 2007 - June 2007

Skills
Revenue Recognition, Accounting, Auditing, QuickBooks, GAAP, Financial Analysis, Tax Preparation, Corporate Tax, Income Tax, Financial Reporting, Internal Controls, Tax, Partnership Taxation, General Ledger, Account Reconciliation, Financial Accounting, Accounts Payable, Accounts Receivable, Great Plains Software, ProSystem fx Tax, CCH ProSystem fx, CCH Intelliconnect, Fixed Asset Depreciation, Fixed Assets, Fixed Asset Management, Inventory Analysis, Financial Forecasting, Revenue Forecasting, Microsoft Dynamics, Process Improvement, Sales Tax, Tax Accounting, Social Networking, Personal Budgeting, Corporate Finance, Finance, SAP, CPA, Financial Statements

Education
University of California, Santa Barbara   2003 — 2007
BA, Business Economics w/ emphasis in Accounting

Oak Ridge

Eric Cottrell Eric Cottrell Greater New York City Area Details
Eric Cottrell's Bruker Nano, Inc. Experience October 2010 - April 2011
Job North America AutoAFM Customer Service Supervisor at BrukerNano
Industry Semiconductors
Experience
Bruker-Nano   April 2011 - Present
Bruker Nano, Inc.   October 2010 - April 2011
Veeco Instruments  March 2007 - October 2010
Qimonda  January 2000 - March 2007
BOC Edwards  1999 - 2000
FSI International  1994 - 2000

Skills
Field Service, Lean Manufacturing, Manufacturing, Metrology, AFM, Automation, CVD, Characterization, Design of Experiments, Electronics, Engineering, FMEA, Failure Analysis, IC, Leadership, Materials Science, Nanotechnology, Optics, PVD, Photolithography, R&D, SPC, Semiconductor Industry, Scanning Electron..., Semiconductors, Six Sigma, Test Equipment, Thin Films, Troubleshooting

Education
Rochester Institute of Technology   2010 — 2013
B.S. EMET, Electrical Mechanical Engineering Technology, 3.89

ITT Technical Institute   1990 — 1995

Taekwon Jee Taekwon Jee San Francisco Bay Area Details
Taekwon Jee's Bruker Nano, Inc. Experience 2006 - 2006
Job Senior Process Engineer at Samsung Electronics
Industry Mechanical or Industrial Engineering
Experience
Samsung Electronics  2014 - Present
Lam Research  2013 - 2014
UC Berkeley  2007 - 2013
Samsung Semiconductor  2006 - 2007
Bruker Nano, Inc.   2006 - 2006
Texas A&M University  2004 - 2005
Korean Institute of Science and Technology, Seoul, Korea   2003 - 2004

Skills
AFM, Nanoindentation, Nanomaterials, Nanofabrication, Thin Films, Solidworks, Catia, Abaqus, Matlab, Powder X-ray Diffraction, Plasma Etching, CVD, Mechanical Product..., SEM, TEM, FTIR, PVD, Labview, Spectroscopy, Sensors, Microscopy, Surface Chemistry, Failure Analysis

Education
University of California, Berkeley   2007 — 2013
Doctor of Philosophy (PhD), Mechanical Engineering and Physics

Texas A&M University   2004 — 2005
Master of Science (M.S.), Mechanical Engineering

Hanyang University   1996 — 2004
Bachelor of Science (BS), Mechanical Engineering

Henry Mittel Henry Mittel San Francisco Bay Area Details
Henry Mittel's Bruker Nano, Inc. Experience September 2000 - Present
Job Senior Systems Engineer at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   September 2000 - Present
Veeco Instruments  September 2000 - October 2011
Dynacs Engineering  1997 - 2000

Skills
Mathematics, Data Acquisition, System Design, Data Analysis, Instrumentation, Sensors, Calculus, Optics, Science, Electrical, Calibration, Applied Mathematics, Mathematica, Mathematical Modeling, Linear Algebra, Theory, Research, Systems Analysis, Algebra, Geometry, Algorithms, Teaching, Analysis, Numerical Analysis, Motion Control, Signal Processing, FPGA, Electronics, Spectroscopy, Simulation, AFM, DSP, Design of Experiments, Physics, Digital Signal..., Embedded Systems

Education
University of Central Florida   1991 — 1997

Audra Colquitte Matthews Audra Colquitte Matthews Santa Barbara, California Area Details
Audra Colquitte Matthews's Bruker Nano, Inc. Experience 2012 - Present
Job Manager, Talent Acquisition,Global HR at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   2012 - Present
Deckers Outdoor Corporation  September 2010 - September 2012
Den-Mat Holdings, LLC  June 2009 - September 2010
Provancement   December 2009 - August 2010
The Walt Disney Studios  August 2008 - August 2009
Allergan Medical  May 2006 - July 2008
Inamed  2003 - 2006
Warner Music Group  2002 - 2003
Rhino Entertainment  2000 - 2002
DreamWorks SKG  1997 - 1998

Skills
Recruiting, Executive Search, Management, Strategy, Outplacement, Program Development, OFCCP, Talent Acquisition, Applicant Tracking..., Sourcing, Talent Management, College Recruiting, Human Resources, Onboarding, Employee Relations, HRIS, Employer Branding, Internet Recruiting, Technical Recruiting, Coaching, Benefits Administration, Executive Coaching, Deferred Compensation, Project Management, Business Development, Screening, Temporary Placement, Employee Benefits, Hiring, Training, Interviews, Leadership

Education
Antioch University   1996 — 1997
MAOM, Organizational Management

University of California, Santa Barbara   1984 — 1988
BA, Psychology

Dean Dawson Dean Dawson Santa Barbara, California Area Details
Dean Dawson's Bruker Nano, Inc. Experience December 1997 - Present
Job Sr Director of Marketing at ........
Industry Semiconductors
Experience
Bruker Nano, Inc.   December 1997 - Present
Taylor Hobson Ltd   1980 - 1997

Skills
Product Marketing, Development of..., Marketing Strategy, Semiconductors, Metrology, Product Management, Product Development, R&D, Nanotechnology, MRDs, Competitive Analysis, Electronics, Technical Marketing, Product Demonstration, Market Requirements..., Product Launch, Product Requirements, Semiconductor Industry, Product Lifecycle..., Materials Science, Data Storage..., Characterization, Design of Experiments, Sensors, MEMS, Thin Films, Engineering Management, Optics, AFM

Education
Coventry University   1988 — 1989
M.Sc, Engineering Management

Marco Tortonese Marco Tortonese San Francisco Bay Area Details
Marco Tortonese's Bruker Nano, Inc. Experience November 2011 - Present
Job Dir. Operations at Bruker Nano, Inc.
Industry Electrical/Electronic Manufacturing
Experience
Bruker Nano, Inc.   November 2011 - Present
Raytheon Polar Services  2010 - November 2011
Advanced Bionics  2009 - 2010
VLSI Standards   2004 - 2009
KLA-Tencor  2002 - 2004
KLA-Tencor  2000 - 2002
KLA-Tencor  1997 - 2000
Park Scientific Instruments  1993 - 1997

Education
Stanford University   1988 — 1993
Ph. D., Electrical Engineering

Tony Hare Tony Hare Dallas/Fort Worth Area Details
Tony Hare's Bruker Nano, Inc. Experience 2010 - Present
Job Field Service Engineer at Bruker Nano
Industry Semiconductors
Experience
Bruker Nano, Inc.   2010 - Present
SEMATECH  1997 - 1999
SEMATECH International   1997 - 1999

Skills
Field Service, Thin Films, Metrology, Semiconductor Industry, Semiconductors, Etch

Education
University of Central Florida   1987 — 1990

Mike Herendeen Mike Herendeen Santa Barbara, California Area Details
Mike Herendeen's Bruker Nano, Inc. Experience October 2010 - Present
Job Director of Software Engineering at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   October 2010 - Present
Veeco Instruments  March 2004 - October 2010

Skills
Software Engineering, Automation, Metrology, Optics, R&D, AFM, Semiconductors, Embedded Systems, Thin Films, Leadership, Sensors

Education
University of California, Irvine
BS, MS Information and Computer Science

Nathalie Guebels Nathalie Guebels Santa Barbara, California Area Details
Nathalie Guebels's Bruker Nano, Inc. Experience October 2010 - December 2010
Job Software Engineer and Computer Science Instructor
Industry Nanotechnology
Experience
Santa Barbara City College  August 2014 - Present
Bruker Nano, Inc.   October 2010 - December 2010
Veeco Instruments  September 2004 - October 2010
Veeco Metrology (Probes Division)   September 2003 - September 2004
ECE Department, UC, Santa Barbara   April 2002 - June 2003
Raytheon Infrared Operations, Goleta, CA   July 2001 - September 2001
Physics/Engineering Department. UC, Santa Barbara   January 2000 - September 2000

Skills
AFM, Nanotechnology, Sensors, Materials Science, Embedded Systems, Characterization

Education
University of California, Santa Barbara   2002 — 2003
M.S., Electrical and Computer Engineering

University of California, Santa Barbara   1998 — 2002
B.S., Electrical Engineering

Sharath Nair Sharath Nair Houston, Texas Area Details
Sharath Nair's Bruker Nano, Inc. Experience May 2011 - Present
Job Sales Account Manager at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   May 2011 - Present
nPoint   April 2008 - December 2011
Novascan Technologies  May 2004 - April 2008
Iowa State University  August 2002 - May 2004

Skills
Account Management, Sales, Lead Generation, Nanotechnology, Semiconductors, AFM, Optics, Product Development, Metrology, Materials Science, Thin Films, R&D, Electronics, Sensors, Product Management, Manufacturing, Materials, Engineering, Spectroscopy, Polymers

Education
Iowa State University   2002 — 2004
Master of Science (MS), Mechanical Engineering

Bangalore University   1997 — 2001
Bachelor of Science (BS), Mechanical Engineering

Tobias Alte Tobias Alte Santa Barbara, California Area Details
Tobias Alte's Bruker Nano, Inc. Experience October 2010 - Present
Job at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   October 2010 - Present
Veeco Instruments  October 2008 - October 2010
University of Applied Sciences Zwickau (FH)   October 2007 - August 2008
Veeco Metrology  March 2006 - August 2007
Hella KGaA Hueck & Co   September 2003 - January 2004
Deutsche Bahn AG  September 1995 - February 1999

Skills
C, C++, C#, Shell Scripting

Education
Westsächsische Hochschule Zwickau   2001 — 2007
Dipl. Ing. (FH), Information Technology

Florin Munteanu Florin Munteanu San Francisco Bay Area Details
Florin Munteanu's Bruker Nano, Inc. Experience October 2003 - November 2014
Job Signal Processing Engineer at Lawrence Livermore National Laboratory
Industry Computer Software
Experience
Lawrence Livermore National Laboratory  November 2014 - Present
Bruker Nano, Inc.   October 2003 - November 2014
Optimag   2000 - 2003

Skills
Digital Signal..., Digital Image Processing, Engineering Management, Electronics, Sensors, C++, Semiconductors, R&D, Optics

Education
Northeastern University   1995 — 2000
Doctor of Philosophy (Ph.D.), Physics

Dominic Paszkeicz Dominic Paszkeicz Santa Barbara, California Area Details
Dominic Paszkeicz's Bruker Nano, Inc. Experience October 2008 - August 2013
Job Product Marketing Professional ► Marketing & Sales Manager with strong track record of sales & market share growth
Industry Nanotechnology
Experience
Electro Optical Industries, Inc.   2013 - Present
Bruker Nano, Inc.   October 2008 - August 2013
Bruker Nano Surfaces  May 2005 - September 2008
Novellus Systems  1999 - 2003

Skills
Metrology, Semiconductors, Product Management, Sales, Product Marketing, Nanotechnology, Product Launch, Characterization, R&D, Manufacturing..., Materials Science, Manufacturing, Product Development, Business Development, Program Management, Leadership, Marketing Strategy, Semiconductor Industry, Cross-functional Team...

Education
San Jose State University
MBA, Marketing

Westmont College
Economics & Business, Marketing

Orhun Birsoy Orhun Birsoy Greater Denver Area Details
Orhun Birsoy's Bruker Nano, Inc. Experience October 2010 - July 2011
Job Software Engineer at BuildEdge
Industry Computer Software
Experience
BuildEdge   August 2011 - Present
Bruker Nano, Inc.   October 2010 - July 2011
Veeco Instruments  July 2010 - November 2010
Keymark Enterprises LLC  March 2001 - August 2010
EN-SU Engineering & Consulting Co. Ltd.   September 1998 - March 2001

Skills
C++, C++/CLI, C#, VTK, OpenCL, OpenGL, Direct3D, Python, OpenCASCADE, OpenSceneGraph, Ogre3D, GLSL, HLSL, CMake, SWIG, Visual C++, C/C++ STL, MFC, Object Oriented Design, Software Development

Education
Orta Doğu Teknik Üniversitesi / Middle East Technical University   1999 — 2001
MSc, Hydro Mechanics

Orta Doğu Teknik Üniversitesi / Middle East Technical University   1994 — 1998
BSc, Civil Engineer

Karen Madler Karen Madler Santa Barbara, California Area Details
Karen Madler's Bruker Nano, Inc. Experience January 2011 - December 2011
Job Digital Marketing Manager at CMC Rescue, Inc.
Industry Computer Software
Experience
CMC Rescue, Inc.   October 2014 - Present
karenmadler.com   April 2013 - Present
SmartReceipt  2008 - April 2013
Bruker Nano, Inc.   January 2011 - December 2011
Jet Propulsion Laboratory  July 2007 - April 2008
Lockheed Martin Aeronautics, Palmdale   June 2004 - June 2006
Lockheed Martin Aeronautics, Palmdale   July 2003 - January 2006
Lockheed Martin Aeronautics Company, Ft. Worth   May 2002 - July 2003
Jet Propulsion Laboratory  May 2001 - August 2001
Jet Propulsion Laboratory  January 2000 - August 2000

Skills
OS X, Photoshop, InDesign, Illustrator, Keynote, Apple Pages, Apple Numbers, Mac OS X, QR Codes, CMS, HTML, CSS, SEO, Google Adwords, Google Analytics, OmniGraffle, Dreamweaver, Basics of Unix, TextMate, VI, Windows, Git, Start-ups, Management, Web Project Management

Education
Texas A&M University
BS, Aerospace Engineering

John Alfson John Alfson Tucson, Arizona Area Details
John Alfson's Bruker Nano, Inc. Experience August 2009 - December 2012
Job Vice President World Wide Customer Service at Bruker Nano Surfaces & Bruker Semiconductor
Industry Nanotechnology
Experience
Vice President, BNS & BSD World Wide Customer Service Organization   January 2013 - Present
Bruker Nano, Inc.   August 2009 - December 2012
Veeco Instruments  August 2009 - November 2010
Veeco Instruments  October 2005 - July 2009
Veeco Instruments  April 1997 - September 2005
Santa Fe Lasers   January 1996 - March 1997
Laser Photonics Inc.   July 1986 - January 1996
Heraeus Lasersonics   June 1983 - July 1986
Molectron Corp   October 1979 - May 1983

Skills
Lean Manufacturing, Team Building, SAP, Program Management, Customer Service, Semiconductors, Metrology, Manufacturing, Process Improvement, Cross-functional Team..., Optics, Continuous Improvement, Leadership, Nanotechnology, Operations Management, Field Service, Change Management, Strategic Planning, Team Leadership, Management, Project Planning, Start-ups, AFM, Business Process, Medical Devices

Education
Brown College

Williston High School

James Earle James Earle San Jose, California Details
James Earle's Bruker Nano, Inc. Experience January 2013 - Present
Job Vice President & General Manager Tribology, Stylus & Optical Metrology Business Unit at Bruker Nano Surfaces
Industry Nanotechnology
Experience
Bruker Nano Surfaces  August 2015 - Present
Bruker Nano, Inc.   January 2013 - Present
Bruker Nano, Inc.   July 2012 - December 2012
Fluke Corporation, Process Instruments   July 2009 - October 2012
Fluke Corporation, Process Instruments   November 2005 - July 2009
Henkel Loctite  2000 - 2005
Henkel  1995 - 2000
Henkel  1992 - 1995
Henkel Loctite  1989 - 1992
Ricoh  1987 - 1989

Skills
Strategic Planning, Voice of the Customer, Brand Development, Market Research, Competitive Analysis, Business Planning, Product Development, Sales Management, Management, Product Management, Strategy, Manufacturing, Account Management, Market Analysis, Product Marketing, Lead Generation, Cross-functional Team..., New Business Development, CRM, Business Strategy, International Sales, Sales, Business Development, Key Account Management, Marketing

Education
Stanford University Graduate School of Business   2011 — 2011
FANFE, Finance & Accounting for non-Financial Executives

Language Institute   1989 — 1992
Japanese Language Proficiency, Grade II Fluency

Dublin Institute of Technology   1982 — 1987
Bachelor of Science (B.Sc.), Engineering

Trinity College, Dublin
B.Sc. Eng, Process Engineering

Ben Ohler Ben Ohler Santa Barbara, California Area Details
Ben Ohler's Bruker Nano, Inc. Experience October 2010 - February 2013
Job Director of Marketing at Asylum Research
Industry Nanotechnology
Experience
Asylum Research, an Oxford Instruments Company  November 2013 - Present
Asylum Research, an Oxford Instruments Company  February 2013 - November 2013
Bruker Nano, Inc.   October 2010 - February 2013
Veeco Instruments  2007 - October 2010
Veeco Instruments  1999 - 2007

Skills
AFM, Biophysics, Nanotechnology, Product Management, Lifesciences, Spectroscopy, Materials Science, R&D, Microscopy, Thin Films, Chemistry, Optics, Characterization, Metrology, Nanomaterials

Education
University of California, Santa Barbara   1996 — 2003
Ph.D., Chemical Engineering, Biophysics

University of Dayton   1992 — 1996
B.Sc., Chemical Engineering

Vinson (Vince) Kelley Vinson (Vince) Kelley Santa Barbara, California Details
Vinson (Vince) Kelley's Bruker Nano, Inc. Experience October 2010 - July 2015
Job Magnetician (Magnetic Device Engineer)
Industry Computer Hardware
Experience
Self  January 1980 - Present
Bruker Nano, Inc.   October 2010 - July 2015
Veeco Instruments  August 2002 - October 2010
Read-Rite Corporation  September 1995 - August 2002
Applied Magnetics Corp.  February 1983 - September 1995
Center For Auto Safety   1971 - 1971

Skills
Thin Films, Nanotechnology, Physics, Sensors, Characterization, R&D, Metrology, Product Development, Electronics, Materials Science, Design of Experiments, Failure Analysis, Simulations, Matlab, Engineering, AFM, Magnetics, MadCap Flare, Magnetic Recording, FrameMaker, MEMS, Finite Element Analysis, Sputtering

Education
University of California, Los Angeles
MS, BS, Physics

Melissa Mininni Melissa Mininni Santa Barbara, California Area Details
Melissa Mininni's Bruker Nano, Inc. Experience January 2010 - May 2013
Job Senior Marketing Writer at Yardi
Industry Computer Software
Experience
M. Mininni   June 2013 - October 2013
Bruker Nano, Inc.   January 2010 - May 2013
Santa Barbara Independent  January 2012 - March 2012
IC Axon  September 2006 - May 2008
University of Waterloo, Centre for Contact Lens Research   September 2002 - August 2003
McMaster University  May 2001 - July 2001
Veeco Instruments  September 2000 - December 2000
University of Toronto  January 2000 - April 2000

Skills
MadCap Flare, Adobe Creative Suite, Camtasia Studio, Research, AFM, Software Documentation, Nanotechnology, Molecular Biology, Science, Data Analysis, Lifesciences, Camtasia, Biotechnology, R&D, Biochemistry, Project Management, Life Sciences, Materials Science, Leadership, Laboratory, Technical Writing, Scientific Writing

Education
McGill University   2003 — 2006
MSc, Neuroscience

University of Waterloo   1997 — 2002
BSc, Biochemistry, co-operative education

Ken Tunmore Ken Tunmore United States Details
Ken Tunmore's Bruker Nano, Inc. Experience October 2010 - Present
Job CAD Engineer at Bruker Nano, Inc.
Industry Defense & Space
Experience
Bruker Nano, Inc.   October 2010 - Present
Veeco Instruments  October 2005 - October 2010
Portsmouth Aviation Limited   October 1999 - September 2004

Skills
Semiconductors, Nanotechnology, Electronics, CAD, R&D

Education
University of Southampton   1996 — 1999
BEng, Aerospace Systems Engineering

David Laken David Laken Charlotte, North Carolina Area Details
David Laken's Bruker Nano, Inc. Experience February 2011 - Present
Job Eastern Region Sales Manager at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   February 2011 - Present
Tessera  April 2010 - February 2011
Veeco Instruments  November 2005 - April 2010
Omicron Nanotechnology   January 2003 - November 2005
Thermo VG Semicon   November 2001 - December 2002
FEI Company  January 1991 - November 2001

Education
Beloit College   1986 — 1990
Bachelor's degree, Physics

JINGFANG WAN JINGFANG WAN North Canton, Ohio Details
JINGFANG WAN's Bruker Nano, Inc. Experience October 2010 - July 2012
Job Principal Development Engineer at The Timken Company
Industry Mechanical or Industrial Engineering
Experience
The Timken Company  August 2012 - Present
Bruker Nano, Inc.   October 2010 - July 2012
Veeco Instruments  August 2010 - October 2010
The Ohio State University  September 2004 - September 2009

Skills
Labview, Matlab, Optics, Solidworks, R&D, Metrology, Modeling, Design of Experiments, Materials Science, Numerical Analysis, Finite Element Analysis, Simulations, ANSYS, Mechanical Engineering, Sensors, Engineering, Characterization

Education
The Ohio State University
M.S. and Ph.D.

Shiladitya Sen Shiladitya Sen Santa Barbara, California Area Details
Shiladitya Sen's Bruker Nano, Inc. Experience October 2012 - Present
Job Creative Mechanical Engineer
Industry Mechanical or Industrial Engineering
Experience
Bruker Nano, Inc.   October 2012 - Present
University of Michigan  August 2008 - September 2012
Tufts University  May 2007 - May 2008

Skills
Machine shop skills, Sensors and actuators..., Analog Circuit Design, SolidWorks, ANSYS, Matlab, Simulink, Maple, Labview, Electrical Engineering, Electronics, Mechanical Engineering, Algorithms, Solidworks, Manufacturing, Mechatronics, LabVIEW, C, Finite Element Analysis

Education
University of Michigan   2008 — 2012
Ph.D., Precision systems, 7.92/9.0

Tufts University   2006 — 2008
MS, Mechanical Engineering, 4.0/4.0

Indian Institute of Technology, Kharagpur   2002 — 2006
B-Tech, Manufacturing Sc. & Engineering, 8.24/10.0

Abdelilah Safir Abdelilah Safir Louisville, Kentucky Area Details
Abdelilah Safir's Bruker Nano, Inc. Experience October 2010 - Present
Job Engineer at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   October 2010 - Present

Skills
AFM, Nanotechnology, Scanning Electron..., Sputtering, Thin Films, Characterization, Photolithography, Metrology, Materials Science, Spectroscopy, Nanomaterials, Physics, MEMS, Optical Microscopy, Optics, Design of Experiments, Microfabrication, Polymers, Nanofabrication, Semiconductor..., Labview, CVD, Ellipsometry, PVD

Education
University of Louisville
Ph.D

University of Louisville
M.S

David Rossi David Rossi Santa Barbara, California Area Details
David Rossi's Bruker Nano, Inc. Experience October 2010 - January 2012
Job President at Bruker Nano Surfaces
Industry Semiconductors
Experience
Bruker Nano Surfaces  May 2015 - Present
Bruker Semiconductor Division   January 2015 - Present
Bruker Nano Surfaces  October 2010 - January 2015
Bruker Nano, Inc.   October 2010 - January 2012
Veeco Instruments  February 2007 - December 2010
Veeco Instruments  July 2005 - February 2007
Newport Corporation  November 2000 - July 2005
OptoSigma Corporation   1995 - 2000

Skills
Semiconductors, AFM, Metrology, Automation, Optics, Nanotechnology, Physics, Product Development, R&D, Science, Sensors, Instrumentation, Thin Films, Materials Science, MEMS, Characterization, Simulations, Product Marketing, Semiconductor Industry, Spectroscopy, Photonics

Education
University of New Hampshire   1982 — 1986
BS, Physics

Stacy Waller Stacy Waller Tucson, Arizona Area Details
Stacy Waller's Bruker Nano, Inc. Experience April 2007 - Present
Job Human Resources at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   April 2007 - Present
Bruker Nano, Inc.   2007 - 2011
BlueStar Solutions  2001 - 2005
BlueStar Solutions  2001 - 2005

Skills
Applicant Tracking..., Talent Acquisition, College Recruiting, Technical Recruiting, Onboarding, HRIS, Employee Relations, Human Resources, Product Development

Education
East Carolina University
BS, Accounting

Colin Farrell Colin Farrell Seattle, Washington Details
Colin Farrell's Bruker Nano, Inc. Experience November 2010 - December 2013
Job Director, Manufacturing & Process Engineering at the Allen Institute for Brain Science
Industry Research
Experience
Allen Institute for Brain Science  January 2014 - Present
Bruker Nano, Inc.   November 2010 - December 2013
Bruker Nano, Inc.   October 2010 - November 2010
Veeco Instruments  April 2007 - October 2010
Veeco Instruments  2000 - 2007
Veeco Instruments  1998 - 2000
Wyko Corp   November 1994 - 1998
Rover Group  1989 - 1989

Skills
Metrology, Thin Films, Engineering, Interferometry, Sensors, AFM, Materials Science, Manufacturing, Physics, Nanotechnology, Semiconductors, Optics, Process Engineering, R&D, Characterization

Education
University of Aberdeen   1990 — 1993
PhD, Physics

University of Strathclyde   1986 — 1990
BSc, Laser Physics and Optoelectronics

Chris Cherone Chris Cherone Goleta, California Details
Chris Cherone's Bruker Nano, Inc. Experience April 2007 - Present
Job Senior Lvl IV Test Engineer at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   April 2007 - Present

Skills
AFM, Metrology, Physics, Manufacturing, Microscopy

Education
UCSB   2002 — 2006
Mechanical Engineering

Pat Mcphail Pat Mcphail Goleta, California Details
Pat Mcphail's Bruker Nano, Inc. Experience July 1991 - Present
Job Grand Omnipotent Stomper at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.   July 1991 - Present

Skills
Nanotechnology, Scanning Electron..., Thin Films, Materials Science, Nanofabrication, Spectroscopy, Sputtering, Physics, Semiconductors, Optics, Electronics

Education
CSU Chico   1985 — 1988

Wayne Cretin Wayne Cretin Santa Barbara, California Details
Wayne Cretin's Bruker Nano, Inc. Experience
Job Electromechanical technician at Bruker Nano, Inc.
Industry Nanotechnology
Experience
Bruker Nano, Inc.  

Skills
Metrology

Education
UCSB   1989 — 1994
Bachelor of Science (BS), Biology

Ana C. Herrera Ana C. Herrera Oxnard, California Details
Ana C. Herrera's Bruker Nano, Inc. Experience August 2007 - October 2012
Job Senior Cost Accountant at Dako
Industry Biotechnology
Experience
Dako  November 2012 - Present
Bruker Nano, Inc.   August 2007 - October 2012
Procter & Gamble  January 1992 - June 2005

Skills
SAP, Accounts Payable, Financial Analysis, Microsoft Excel, Manufacturing, Financial Reporting, Cross-functional Team..., Forecasting, Microsoft Office, Accounting, Cost Accounting

Education
UCSB

University of Phoenix
Bachelor of Science (BS), Business Administration and Management, General