Intel Corporation
Industry: Semiconductor manufacturing company
DescriptionIntel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California, in the Silicon Valley. CEO: Robert H. Swan (Jun 21, 2018–) Headquarters: Santa Clara, California, United States Subsidiaries: Altera, Mobileye, Nervana Systems, Wind River, Founders: Gordon Moore, Robert Noyce
Intel Corporation List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Intel Corporation. You can filter them based on skills, years of employment, job, education, department, and prior employment.
Intel Corporation Salaries. You can even request information on how much does Intel Corporation pay if you want to. Learn about salaries, pros and cons of working for Intel Corporation directly from the past employees.
Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!
37,853 Intel Corporation employees in database. Find out everything there's to know about Intel Corporation employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.
Intel Corporation Employees
Intel Corporation August 2015 - Present
GE June 2011 - September 2011
ABB April 2011 - May 2011
Skills
Verilog, ModelSim, Xilinx ISE, System Verification, FPGA, VHDL, ASIC, AutoCAD, Circuit Design, C, C++, Perl, Microsoft Office, VLSI, Programming
Education
University of Southern California 2014 — 2015
Master's degree, VLSI, 3.64/4
PES institute of technology , Bangalore 2008 — 2012
Bachelor of Engineering (BE), Electrical and Electronics Engineering, 3.7/4
St. Aloysius PreUniversity College 2006 — 2008
Electronics
Intel Corporation June 2015 - Present
The Coding School July 2014 - November 2014
Fairview Venture LLC July 2013 - August 2013
Firich Enterprises Co., Ltd. May 2013 - July 2013
Skills
Computer Science, Programming, C++, Java, Swing, Qt
Education
University of Southern California 2012 — 2016
Bachelor of Science (B.S.), Computer Science
Groupon May 2015 - Present
Yahoo June 2014 - April 2015
Intel Corporation May 2013 - August 2013
Skills
JavaScript, HTML, CSS, Node.js, AJAX
Education
University of Southern California 2012 — 2014
Master of Science (M.S.), Computer Science
D.J. Sanghvi college of Engineering 2009 — 2012
Bachelor of Engineering (BE), Computer Science
Intel Corporation May 2015 - August 2015
Borqs International Holding Corps. June 2012 - July 2012
Skills
C++, C, Java, XML, JavaScript, JSON, jQuery, PHP, Bootstrap, HTML5, JSP, Servlets, SQL, JDBC, Xen
Education
University of Southern California 2014 — 2016
Master's degree, Computer Science
R. V. College of Engineering, Bangalore 2010 — 2014
Bachelor's degree, Computer Science
Sri Kumaran Children's Home 1995 — 2008
Ontario Ministry of Health and Long-Term Care May 2015 - Present
Rotman School of Management, University of Toronto June 2014 - Present
Intel Corporation September 2011 - March 2014
H3C Technologies Co., Ltd June 2010 - August 2010
Skills
C++, Microsoft Office, Matlab, ClearCase, UMTS, Project Management, 3G firmware, Pragmatic Marketing...
Education
University of Toronto - Rotman School of Management
University of Southern California 2009 — 2011
Master's Degree, Electrical, Electronics and Communications Engineering
Xidian University 2004 — 2008
Bachelor's Degree, Electrical, Electronics and Communications Engineering
Intel Corporation July 2014 - Present
Armani Research Group August 2010 - May 2014
Armani Research Group August 2008 - August 2010
Skills
class 100/1000 cleanroom, UV photolithography, BOE etching, XeF2 etching, optical microscopy, SEM, waveguide loss..., optical resonator..., Ellipsometry, FTIR, Fluorescence Microscopy, sol gel silica, silica surface chemistry, Microsoft Office, OriginLab, 2D AutoCAD, Pro/II..., COMSOL, spectrograph
Education
University of Southern California 2010 — 2014
Doctor of Philosophy (PhD), Chemical Engineering
University of Southern California
Master of Science, Chemical Engineering
University of Southern California 2006 — 2010
Bachelor of Science (BS), Chemical Engineering, GPA: 3.63
Intel Corporation June 2015 - Present
University of Southern California August 2014 - Present
Tata Consultancy Services June 2012 - June 2014
Coal India Limited May 2011 - July 2011
Skills
Java, C, HTML, C++, C++ Language, JSP development, JSP, Software Development, Servlets, Oracle, PL/SQL, Oracle PL/SQL..., COBOL, Artificial Intelligence, Project Management, Software Design, Linux Kernel, jQuery
Education
University of Southern California 2014 — 2016
Master of Science (MS), Computer Science, 3.76/4
Institute of Technical Education & Research (ITER) 2008 — 2012
Bachelor of Technology (B.Tech.), Information Technology, 8.7/10
Intel Corporation July 2014 - Present
Intel Corporation January 2014 - May 2014
Mistral Solutions Pvt. Ltd July 2011 - July 2012
Skills
Cadence Virtuoso, Verilog, ModelSim, VHDL, C, Linux, Perl Script, Chipscope Pro, Xilinx ISE, Computer Architecture, ASIC, RTL coding, LTSpice, Embedded C, 8051 Assembly, Cadence Spectre, Cadence Schematic..., OrCAD Capture CIS, Digital Circuit Design, PCI Standards, I2C, EPP, FPGA, Integrated Circuit..., Xilinx, SystemVerilog, Spectre, Keil
Education
University of Southern California 2012 — 2014
Master's degree, Electrical Engineering, 3.86
Siddaganga Institute of Technology 2007 — 2011
B.E, Electronics and Communications, 9.27
University of Southern California August 2013 - Present
Intel Corporation January 2015 - Present
University of Southern California August 2014 - December 2014
University of Southern California May 2014 - December 2014
Integrated Media Systems Center April 2014 - December 2014
Resonance August 2012 - April 2013
IETE Students' Forum August 2011 - April 2012
Skills
C, Teamwork, Matlab, Programming, Java, C++, VHDL, Simulink, Python, Digital Image Processing, VLSI, Data Structures, Pattern Recognition, Digital Signal..., Algorithms, HTML, Microsoft Office, Linux, Computer Vision
Education
University of Southern California 2013 — 2015
MS, Electrical Engineering, CGPA : 4.0/4.0
MIT Pune 2013 Batch 2009 — 2013
Bachelor of Engineering (B.E.), Electrical, Electronics and Communications Engineering, Aggregate : 82.0/100.0
Broadcom April 2014 - Present
Oracle January 2012 - April 2014
University of Southern California December 2010 - January 2012
Intel Corporation May 2011 - August 2011
Skills
Verilog, NC-Verilog, NCSim, VLSI, Computer Architecture, VHDL, Xilinx, ModelSim, Algorithms, Cadence Virtuoso, RTL design, FPGA, system verilog, Python, Java, Verdi, Synopsis VCS, VMM methodology, ASIC, Perl, RTL Design, SystemVerilog
Education
University of Southern California 2010 — 2012
MS, EE-VLSI Design and Computer Architecture, 4.0
PES Institute of Technology 2006 — 2010
Bachelor of Engineering, Electronics and Communication
Intel Corporation 2011 - Present
Adrian Chu & Associates 2007 - Present
University of Washington 2010 - 2011
PDIC / Project Development International Corporaton 2007 - 2011
Boeing Commercial Airplanes 2009 - 2010
Education
University of Washington
Bachelor of Science in Electrical Engineering
University of Southern California
Master of Science in Electrical Engineering
Intel Corporation April 2015 - Present
Skills
C, C++ Language, Java, Object Oriented Design, OpenCV, OpenGL, HTML, CSS, JavaScript, AJAX, Android Development, PHP, Perl, XML, Matlab, Adobe Photoshop, Visual Studio, Eclipse, Unix, Windows, Git, JUnit
Education
University of Southern California 2013 — 2014
Master's Degree, Electrical Engineering
University of Pune (Cummins College of Engineering for Women) 2007 — 2011
Bachelor of Engineering, Electronics & Telecommunication
Intel Corporation May 2015 - Present
Avocation Educational Services Pvt Ltd January 2014 - February 2014
ThinkLABS Technosolutions Pvt. Ltd. August 2011 - May 2012
Skills
C++, C, Microsoft Office, Matlab, Microsoft Excel, Programming, Verilog, VHDL, VLSI, Xilinx ISE, Computer Architecture, Digital Logic Design, Electronics Devices and..., Cadence Vitruoso, Perl, Python, Sed, awk, UVM
Education
University of Southern California 2014 — 2016
Master's Degree, Electrical Engineering (VLSI and Computer Architecture)
Dwarkadas J. Sanghvi College of Engineering 2009 — 2013
Bachelor's Degree, Electronics and Telecommication, GPA - 4.0
Patkar Junior College,Mumbai 2007 — 2009
Children's Academy,Mumbai 1994 — 2007
High School
Altera August 2014 - Present
Intel Corporation January 2014 - May 2014
University of Southern California May 2013 - December 2013
University of Southern California February 2013 - December 2013
University of Southern California February 2013 - May 2013
Realty Automation & Security Systems Pvt. Ltd. January 2012 - June 2012
Tan Swa Technology INC August 2011 - November 2011
Skills
Verilog, VHDL, SystemVerilog, UVM, Perl, ModelSim, NCSim, Functional Verification, Assertion Based..., Code Coverage, FPGA prototyping, C++, C, VLSI, Computer Architecture, RTL design, Logic Design, ASIC, Timing Closure, Cadence Spectre, CMOS, FPGA, Digital Circuit Design, Cadence Virtuoso, Synplify Pro, Xilinx, Security Automation, Fault Finding, Debugging, Clocking, Semiconductors, PICs, Mathematics, Microchip PIC, Algebra, Xilinx ISE, PCB design, Testing, Circuit Design, Simulations, Hardware, Python, Microcontrollers, Static Timing Analysis, Verdi, RTL Design
Education
University of Southern California 2012 — 2014
Master's degree, Electrical and Electronics Engineering
Vidyalankar Institute of Technology 2007 — 2011
Bachelor of engineering, Electronics and Telecommunication
WMMV 1996 — 2005
SSC
Apple July 2014 - Present
Intel Corporation January 2014 - May 2014
Hewlett-Packard Research and Development (Imaging & Printing Group) July 2010 - July 2012
Skills
Verilog, Perl, Cadence Virtuoso, ModelSim, C, Shell Scripting, VLSI, Physical Design, C++, Timing Closure, Synopsys Primetime, Xilinx Vivado, FPGA, VCS, VHDL, NCSim, Synplify Pro, Xilinx ISE, Encounter, Microsoft Visual Studio..., Xcode, Windows, Linux, PCIe LeCroy Protocol..., Debugging, Computer Architecture, RTL design, SoC, Processors, Java, HTML, DFT, Visual Studio, ASIC, TCL, Xilinx, Perl Script, Unix Shell Scripting, Testing, Microprocessors
Education
University of Southern California 2012 — 2014
Master's degree, VLSI and Computer Architecture, 3.35/4
Sri Jayachamarajendra College of Engineering 2006 — 2010
Bachelor of Engineering (B.E.), Electrical, Electronics and Communications Engineering, 82.33%
Accenture January 2013 - June 2015
Intel Corporation June 2010 - May 2012
Skills
C, C++, Perl, Java, Unix, Data Structures, Linux, Programming, Eclipse, HTML, MySQL, Shell Scripting, C#
Education
University of Southern California 2015 — 2017
Master’s Degree, Computer Science
New Horizon College Of Engineering 2008 — 2012
B.E computer science, Computer Science
AMD September 2014 - Present
Intel Corporation June 2013 - December 2013
Infosys August 2010 - December 2011
Skills
Tools: Cadence tools..., Languages: Familiar..., Operating Systems:..., C, Verilog, Matlab, C++, Web Applications, ASIC, Unix, Visual C#, Microsoft Office..., RTL design, RTL coding, MIPS, Mentor Graphics Modelsim, Cadence Virtuoso, Microsoft SQL Server, ModelSim, Perl Script, Perl, VLSI, Debugging, Cadence, Physical Design, Logic Design, NCSim, VHDL, Synopsys tools, Xilinx, Computer Architecture, C#, TCL, RTL Design
Education
USC 2012 — 2013
Master's degree, EE VLSI
PESIT Bangalore,India 2006 — 2010
Bachelor's degree, Electrical and Electronics Engineering, First class with Distinction
Intel Corporation July 2011 - Present
Intel Corporation January 2011 - May 2011
Information Sciences Institute August 2010 - December 2010
TRI Technosolutions Pvt Ltd. August 2008 - July 2009
ProcSys-Processor Systems February 2008 - May 2008
Skills
Embedded C, C, C++, Linux, Embedded Systems, Testing, Software Development, Product Development, Eclipse, ePD, Java, Media Codec, DRM, Simulation Software
Education
University of Southern California 2009 — 2011
Master of Science (MSc), Computer Science
R. V. College of Engineering, Bangalore 2004 — 2008
BE, Electronics and Communication
Intel Corporation July 2011 - Present
Infosys Technologies Limited July 2007 - July 2009
Skills
ASIC, ModelSim, Static Timing Analysis, Xilinx, Verilog, DFT, RTL coding, Digital Design, Primetime, Physical Design, SystemVerilog, Cadence Virtuoso, Computer Architecture, Timing Closure, VLSI, Logic Design, MIPS, DRC, LVS, Circuit Design, CMOS, IC, ATPG, Functional Verification, Hardware Design, FPGA, SPICE, Integrated Circuit..., Perl, VHDL
Education
University of Southern California 2009 — 2011
M.S, Electrical Engineering (VLSI)
Visvesvaraya Technological University 2003 — 2007
B.E, Electronics and Communication
Intel Corporation August 2014 - Present
Intel Corporation January 2014 - May 2014
USC Stevens Institute for Innovation May 2013 - December 2013
Larsen and Toubro September 2010 - July 2012
Skills
C, Matlab, Verilog, C++, VHDL, Embedded Systems, Engineering, Microcontrollers, AutoCAD, Electrical Engineering, Simulations, FPGA, Embedded C, Java, ModelSim
Education
University of Southern California 2012 — 2014
Master's degree, Electrical and Electronics Engineering
Amrita Vishwa Vidyapeetham 2006 — 2010
Bachelor of Technology (B.Tech.), Electronics and Communications Engineering
Intel Corporation May 2013 - Present
Intel Corporation May 2012 - May 2013
Intel Corporation January 2009 - May 2012
Intel Corporation November 2007 - May 2012
Intel Corporation January 2004 - November 2007
Intel Corporation January 2001 - January 2004
Skills
Business Intelligence, Analytics, Agile Methodologies, SQL, Business Intelligence..., Microsoft BI Suite, Cognos, Business Objects, Microsoft SQL Server, Data Modeling, Data Warehousing, Scrum, Perl, Business Analytics, C#, ETL, SSRS, Data Visualization, Big Data, Tableau, Microstrategy, TM1, SQL Server Management..., Teradata, Panorama, Agile Application..., Agile & Waterfall..., Extreme Programming, Certified Scrum Master..., Kimball Methodology, .NET, JavaScript, ETL Tools, SSIS, SSAS, Stored Procedures, Software Development, Software Project...
Education
University of Southern California 1996 — 2000
Bachelor of Science (BS), Computer Science
Arasan Chip Systems April 2014 - Present
Intel Corporation September 2013 - December 2013
University of Southern California May 2012 - May 2013
Skills
Microsoft Office, PowerPoint, Microsoft Word, Microsoft Excel, Research, Verilog, VHDL, Perl, Xilinx, Cadence, ModelSim, VLSI, C++, Cadence Virtuoso, ASIC, RTL Design, Computer Architecture, Static Timing Analysis, C, EDA, Logic Design, Unix
Education
USC 2012 — 2013
Master of Science (MS), Electrical and Electronics Engineering
DAVIET, India 2005 — 2009
Bachelor's degree, Electrical and Electronics Engineering
Intel Corporation September 2014 - Present
Intel Corporation January 2014 - May 2014
University of Southern California August 2012 - May 2014
Intel Corporation May 2013 - August 2013
IBM Global Business Process Services February 2012 - July 2012
Centum Rakon India limited January 2011 - May 2011
Skills
Verilog, Perl, C, Cadence Virtuoso, ModelSim, VLSI, Computer Architecture, RTL Design, NCSim, Embedded C, Xilinx ISE, Python, Testing, Cadence Spectre, ASIC, Integrated Circuit..., Linux, SPICE, Assembly Language, Quality Center, Synopsys Primetime, System Testing, Real-time Data..., Data Acquisition, NI LabVIEW, Synopsys tools, Encounter, Design Compiler, Low Power Systems
Education
University of Southern California 2012 — 2014
Master's Degree, Electrical and Electronics Engineering
Yahoo July 2014 - Present
University of Southern California January 2013 - May 2014
PwC June 2013 - August 2013
University of Southern California October 2010 - January 2013
Intel Corporation May 2012 - August 2012
eBay May 2011 - August 2011
Arpana Dance Company August 2008 - June 2010
Skills
Java, C/C++ STL, Unix, Linux, Verilog, Assembly Language, SQL, JSP, HTML, CSS, Microsoft Office, Eclipse, Xilinx ISE, ModelSim, Prezi, Physical Data Modeling, C++, Python, Encase, Software Development, Spring, Programming, Git
Education
University of Southern California 2010 — 2014
Bachelor's Degree, Computer Engineering and Computer Science
University of Southern California 2013 — 2014
Master's Degree, Computer Science
Intel Corporation September 2013 - Present
IBM May 2010 - October 2012
Skills
RTL Coding, VHDL, Verilog, MIPS Assembly Language, C language, Mentor Graphics Modelsim, Xilinx ISE, Cadence Virtuoso, SQL, NCSim, SOC Encounter, Conformal Equivalence..., Synopsys Primetime, Design Compiler, ModelSim, Microsoft Office, SoC, C, JavaScript, System Verilog
Education
University of Southern California 2012 — 2014
Master's degree, Electrical Engineering
M S Ramaiah Institute of Technology 2006 — 2010
Bachelor's degree, Electronics and Communication
Intel Corporation July 2011 - Present
University of Southern California August 2010 - December 2010
Skills
VLSI, Computer Architecture, Microprocessors, NCSim, ModelSim, Spectre, RTL design, Cadence Virtuoso, Verilog, Synopsys tools, Integrated Circuit..., Cadence, RTL coding, Primetime, ASIC, Logic Design, Static Timing Analysis, RTL Design
Education
University of Southern California 2009 — 2010
Master of Science (MS), Electrical engineering ( VLSI design & Computer architecture)
Galgotia college of engineering and technology, Gr noida 2004 — 2008
Bachelor of Technology (B.Tech.), electronics and communications engineering
Intel Corporation July 2013 - Present
Intel Corporation January 2013 - May 2013
University of Southern California August 2011 - May 2013
Intel Corporation June 2012 - August 2012
Skills
System Verilog, Verilog, ModelSim, OVM, Cadence Virtuoso, C/C++, UNIX, VCS simulator, NCSim, Perl, VHDL, Design Compiler, RTL Compiler, Pspice, Matlab, Keil, Proteus, Linux, Xilinx ISE, Cacti, SystemVerilog, Xilinx, Eagle, RTL coding, Open Verification..., C, Digital Signal..., Compilers, Microprocessors, Unix, C++, VLSI, Computer Architecture, Testing
Education
University of Southern California 2011 — 2013
Master of Science (MSEE), Electrical Engineering:- Focus on Digital VLSI and Computer Architecture
KJ Somaiya College of Engineering 2007 — 2011
Bachelor of Engineering, Electronics Engineering
YP January 2015 - Present
OnEarth August 2014 - Present
Intel Corporation May 2013 - August 2013
United States Marine Corps August 2005 - July 2010
Skills
Computer Science, Programming, Web Development, JavaScript, jQuery, Node.JS, MySQL, MongoDB, CSS3, HTML5, GSAP, C++, Java, Object Oriented Design, Module Pattern, MVC, Single Page..., Objective-C, Computer Architecture, iOS Development, Microsoft Office, Information Assurance, Photoshop, C, Eclipse, CSS, OpenGL, PHP, Computer Security, Xcode, Vim, Visual Studio, Xilinx ISE, ModelSim, Security Clearance, Dreamweaver, Maya, Soldering, Schematic, Electrical Engineering
Education
University of Southern California 2010 — 2013
Computer Engineering and Science
Intel Corporation May 2011 - Present
Intel Corporation May 2010 - May 2011
Intel Corporation November 2006 - January 2011
Skills
Managerial, Debugging, Kernel Debugging, Silicon Validation, Validation, Logic Analyzer, Board Bring-up, Hardware Bring-up
Education
University of Southern California 2005 — 2005
Master's degree, Electrical and Electronics Engineering
University of Southern California 2001 — 2004
Bachelor of Science (BS), Electrical and Electronics Engineering
Intel Corporation January 2013 - Present
University of Southern California June 2007 - December 2012
University of Southern California August 2007 - May 2008
University of Southern California January 2007 - May 2007
University of Southern California August 2006 - December 2006
Infraware October 2002 - December 2003
Ubiquix December 2000 - September 2002
Skills
Labview, C++, Matlab, SEM, Lithography, Wet Etching, Plasma Etch, PECVD, Evaporation, Sputtering, AFM, Peptide Synthesis
Education
University of Southern California 2007 — 2012
Doctor of Philosophy (Ph.D.), Electrical Engineering - Electrophysics
University of Southern California 2005 — 2007
MS, Electrical Engineering
Hanyang University 1997 — 2003
B.S, Electrical Engineering
Intel Corporation January 2013 - Present
Intel Corporation June 2012 - December 2012
Intel Corporation September 2010 - December 2010
Infosys November 2007 - December 2010
Microsoft Corporation September 2009 - August 2010
Microsoft Corporation April 2008 - August 2009
HCL Infosystems Ltd June 2006 - July 2006
Skills
Artificial Intelligence, Algorithms, Data Structures, Python, Java, C++, Lucene, Semantic Web, Search Engine Technology, Ontologies, Android, Embedded Systems, Oracle Spatial, Game Theory, Simulations, REST
Education
University of Southern California 2011 — 2012
Master of Science, Computer Science
Uttar Pradesh Technical University 2003 — 2007
Bachelor of Technology, Computer Science
Panasonic Avionics Corporation August 2014 - Present
WorleyParsons April 2012 - July 2014
WorleyParsons March 2011 - April 2012
SINOPEC January 2010 - January 2011
WorleyParsons September 2007 - September 2009
AT&T May 2007 - September 2007
Intel Corporation June 2006 - November 2006
I.M.S.C January 2000 - January 2004
Skills
Six Sigma, Quality Management, Change Management, Process Improvement, Continuous Improvement, Supply Chain Management, Supply Chain..., SAP, Risk Management, EPC, Project Planning, Primavera P6, Project Engineering, MS Project, Project Management, Quality Assurance, Process Engineering, AutoCAD, Piping, Oil/Gas, Refinery, Manufacturing, ASME, Petroleum
Education
University of Southern California 2005 — 2007
Master's degree, Engineering Management
Azad University 1997 — 2001
Bachelor's degree, Industrial Engineering
Intel Corporation September 2010 - Present
Intel Corporation September 2010 - September 2011
Intel Corporation September 2010 - April 2011
Education
souk ahras university 2010 — 2018
Licentiate degree, Information Technology
USC
Postmates April 2015 - Present
Postmates July 2014 - March 2015
Google August 2011 - June 2014
Hewlett-Packard July 2010 - July 2011
Cisco Systems May 2008 - August 2008
Intel Corporation May 2007 - December 2007
Maxim Integrated May 2006 - August 2006
Skills
Perl, Testing, Debugging, C++, Electrical Engineering, Java, Python, Django, JavaScript
Education
University of Southern California 2008 — 2010
Master of Science, Electrical Engineering
University of Southern California 2004 — 2008
Bachelor of Science, Electrical Engineering
Engrade, Inc. May 2014 - Present
VMware May 2013 - August 2013
Intel Corporation June 2012 - August 2012
Averil Capital Markets Group Inc. July 2011 - August 2011
Discovery Science Center June 2009 - August 2009
Skills
C++, C#, Java, Python, Objective-C, HTML, CSS, JavaScript, jQuery, PHP, Linux, Windows, iOS, Microsoft Word, Microsoft Excel, PowerPoint, SharePoint, Visual Studio, Dreamweaver, Xcode
Education
University of Southern California 2010 — 2014
Bachelor of Science (BS), Computer Science and Business Administration
Express Scripts April 2013 - January 2015
Genentech July 2011 - February 2013
Chevron October 2007 - December 2010
DHL April 2004 - October 2007
Intel Corporation April 2004 - December 2005
Electronic Arts April 2001 - November 2001
AAA Northern California, Nevada & Utah Insurance Exchange July 1999 - March 2001
Aspect Telecommunication February 1999 - June 1999
Comerica Bank November 1997 - January 1999
National Semiconductor April 1997 - October 1997
Skills
Integration, Project Management, Business Analysis, Cross-functional Team..., Project Planning, SharePoint, PMP, Management, SDLC, Software Documentation, Program Management, Microsoft Project, MS Project, ITIL, Vendor Management, Process Improvement, Leadership, Visio, Change Management, Requirements Analysis, System Deployment, Quality Assurance, Risk Management, Testing
Education
Western Governors University 2015 — 2017
Bachelor’s Degree, Computer/Information Technology Administration and Management
University of Southern California 1990 — 1991
Biology, General
Chaparral High School 1986 — 1990
High School, General Studies
Intel Corporation July 2014 - Present
Intel Corporation January 2014 - Present
Information Sciences Institute May 2013 - August 2013
Persistent Systems December 2010 - July 2012
Skills
Embedded Systems, C, Computer Architecture, Microcontrollers, VHDL, Embedded C, Device Drivers, Verilog, VLSI, I2C, ModelSim, Cadence Virtuoso, TCL, WinDbg, SPI, ASIC, FPGA, RTL design, Integrated Circuit..., Crash Dump Analysis, Perforce, ITP, Debugging, Computer Graphics, Firmware, Xilinx, ARM, Python, SystemVerilog
Education
University of Southern California 2012 — 2014
Electrical and Electronics Engineering, Computer Engineering, 3.6/4.0
AISSMS College of Engineering, Pune (University of Pune) 2006 — 2010
Bachelor, Electronics Engineering, 4.0/4.0
Bank of America July 2010 - Present
Jacobs Engineering August 2009 - July 2010
Wells Fargo April 2007 - August 2009
Intel Corporation June 1999 - March 2007
Kiewit Construction May 1995 - August 1997
Skills
Procurement, Contract Negotiation, Contract Management, Project Planning, Process Improvement, Facilities Management, Cross-functional Team..., Strategy, RFP, Program Management, Management, Leadership, Project Management, Bidding Process, Strategic Planning, Operations Management, Business Development, Construction, Mentoring, Team Leadership, Negotiation, Team Building, Supply Chain Management, Integration, MS Project, Proposal Writing, Business Analysis, Vendor Management, Business Process..., Forecasting, SDLC, Risk Management, Project Delivery, Change Management, Sourcing, Outsourcing, Six Sigma, Budgets, Management Consulting, Logistics, Project Portfolio..., Business Strategy, Analysis, Manufacturing, Pricing
Education
University of Southern California - Marshall School of Business 1997 — 1999
MBA, General Management
University of Southern California 1990 — 1995
B.S., Civil Engineering
Zenefits June 2015 - Present
Google June 2013 - June 2015
Intel Corporation May 2012 - August 2012
Skills
Perl, Python, PHP, HTML 5, CSS3, MySQL, JavaScript, Java, C++, C, Subversion, Microsoft Office, Adobe Photoshop, Computer Science, CSS
Education
University of Southern California 2009 — 2013
Bachelor of Science (B.S.), Computer Science
Intel Corporation January 2015 - Present
Information Technology Services, University of Southern California January 2012 - December 2014
Intel Corporation August 2013 - August 2014
LAPTAG plasma physics lab at UCLA February 2009 - August 2011
Skills
C++, Matlab, Verilog, Unix, Python, Java, ModelSim, Algorithms, Embedded Systems, System Verilog, SystemVerilog, FPGA, VHDL, C, IDL, Perl, Git, RTL Design
Education
University of Southern California 2010 — 2013
Bachelor of Science (BS), Electrical Engineering
Intel Corporation July 2013 - Present
Intel Corporation January 2013 - May 2013
University of Southern California August 2012 - December 2012
University of Southern California August 2012 - December 2012
University of Southern California December 2011 - June 2012
Robert Bosch Engineering and Business Solutions Ltd. September 2010 - March 2011
Larsen and Toubro Limited January 2010 - June 2010
Skills
Verilog, VHDL, ModelSim, Cadence Virtuoso, C++, C, Matlab, Xilinx, Computer Architecture, NCSim, Debugging, Embedded Systems, Perl, ASIC, Embedded C, NCVerilog, Synopsys Design Compiler, ClearCase, Embedded Software, FPGA, VLSI, Synopsys tools, RTL coding, CMOS, Digital Design, Keil, Xilinx-Spartan 3E 1600..., LTspiceIV, Cadence Encounter, Prime Time, Conformal LEC, RTL Design
Education
University of Southern California 2011 — 2013
Master's degree, Electrical Engineering
The National Institute of Engineering, Mysore 2006 — 2010
B.E, Electronics and Communication
VVVS
Intel Corporation July 2013 - Present
Intel Corporation August 2011 - July 2013
Skills
Debugging, SoC, RTL design, ASIC, VLSI, Verilog, Processors, Functional Verification, Computer Architecture, DFT, Semiconductors, EDA, Microprocessors, IC, CMOS, ModelSim, Circuit Design, FPGA, Perl Script, SQLite, JavaScript, Java, C++, Xilinx ISE, BitKeeper, Git
Education
University of Southern California 2007 — 2011
Bachelor of Science, Electrical Engineering
University of Southern California - Marshall School of Business 2010 — 2011
Minor, Entrepreneurship/Entrepreneurial Studies
San Francisco University High School 2003 — 2007
Intel Corporation June 1997 - Present
Pilkington (formerly Libbey Owens Ford) 1994 - 1997
Skills
Manufacturing, Ergonomics, Semiconductors, EHS, Root Cause Analysis, Engineering Management, Intel, Industrial Hygiene, Leadership, Technical Training, Electronics, Risk Assessment, Lean Manufacturing, Operational Risk..., Process Safety, Safety Management..., Industrial Safety, Process Simulation, Diffusion, Operational Safety, Microsoft Access..., CA Radiation Machine..., Cal/OSHA General..., Design of Experiments, Silicon, Continuous Improvement, Failure Analysis, Metrology, Process Integration, Semiconductor Industry, Reliability, PVD, Hazardous Waste..., Process Engineering, R&D, ISO, SPC, JMP, Occupational Health, CVD, Engineering
Education
University of Southern California
Medtronic, Inc. September 2014 - Present
Medtronic, Inc. April 2012 - September 2014
Intermec Technologies Corp. August 2010 - July 2012
Boston Scientific January 2003 - March 2010
Graviton 2002 - 2003
Intel Corporation 1999 - 2002
Qualcomm 1997 - 1999
Skills
Hardware Architecture, Electronics, Engineering, Testing, ISO 13485, Medical Devices, RF, FDA, Wireless, Antennas, Bluetooth, Embedded Systems, Systems Engineering, Product Development, Simulations, Engineering Management, R&D, Product Management, Embedded Software, Firmware, Troubleshooting, PCB design, FMEA, Design Control, Labview, Electrical Engineering, Design for Manufacturing
Education
MS University
BS, Electrical Engineering
University of Southern California
Masters, Electrical Engineering
Intel Corporation February 2014 - Present
Mindspeed Technologies June 2011 - February 2014
IPG Communications September 2010 - March 2011
Skills
C, C++, Matlab, FPGA, ARM, RTOS, UMTS, Mobile Communications, Embedded Software, Wireless, LTE, Embedded Systems, Embedded C, SoC, Semiconductors, Firmware, Debugging
Education
University of Southern California 2009 — 2011
Master, Electrical Engineering
Cisco Systems September 2010 - Present
CCAD/Comcast August 2007 - September 2010
Intel Corporation January 2007 - May 2007
Skills
Verilog, FPGA, ModelSim, SystemVerilog, VHDL, ASIC
Education
University of Southern California 2005 — 2007
Masters of Science
Gujarat University 2001 — 2005
Bachelor of Engineering
eBay Inc November 2014 - Present
Intel Corporation February 2014 - May 2014
Mobile Programming LLC. September 2013 - February 2014
Tamarisk Labs June 2013 - August 2013
Amazon India PVT Limited April 2012 - July 2012
Skills
C, C++, Perl, Java, SQL, C#, Unix, Linux, HTML, CSS, JavaScript, JSP, JSON, AJAX, XHTML, XML, Operating Systems, Data Structures, Eclipse, Game Development, Algorithms, Android, Microsoft SQL Server
Education
University of Southern California 2012 — 2014
Master of Science (MS), Computer Science
Visvesvaraya Technological University 2007 — 2011
Bachelor of Engineering (B.E.), Computer Science, 74.21%
Intel Corporation February 2014 - Present
Intel Corporation June 2013 - December 2013
Cognizant Technology Solutions August 2011 - December 2011
Skills
Perl, TCL, Verilog, VLSI, ASIC, Logic Synthesis, Static Timing Analysis, Unix, ModelSim, Matlab, VHDL, FPGA, C, Cadence Virtuoso, Xilinx, Computer Architecture, Xilinx ISE, C++, NCSim, Integrated Circuit..., Spectre, ARM, Data Structures, SQL
Education
University of Southern California 2012 — 2013
Master of Science (MS), Electrical and Electronics Engineering
SSN College of Engineering 2007 — 2011
Bachelor of Engineering (BE), Electrical and Electronics Engineering
Cisco Systems July 2013 - Present
Vello Systems January 2013 - May 2013
Intel Corporation May 2012 - August 2012
Cisco Systems July 2010 - July 2011
NDS Limited January 2010 - May 2010
Skills
C, C++, Java, Wireshark, Perl, UDP, BGP, TCP/IP, Linux, OSPF, Unix, JavaScript, Embedded Systems, Debugging, Networking, System Architecture, Security, CCNA, Routing, Wireless, TCL, Troubleshooting, SNMP
Education
University of Southern California 2011 — 2013
Master of Science (MS), Computer Science, 3.65 out of 4.0
Visvesvaraya Technological University 2006 — 2010
Bachelor of Science (BS), Computer Science, 3.7 out of 4.0
Intel Corporation July 2013 - Present
Intel Corporation January 2013 - May 2013
University of Southern California August 2012 - December 2012
University of Southern California March 2012 - December 2012
Ericsson September 2009 - December 2010
Miracle Technologies August 2009 - December 2009
Associated electronics research foundation(A.E.R.F) June 2008 - December 2008
Skills
RTL IP Integration, Perl, TCL, Verilog, VHDL, VLSI, Xilinx ISE, C++, ModelSim, ASIC, Computer Architecture, Integrated Circuit..., Embedded C, Static Timing Analysis, Digital Electronics, SoC, Embedded Systems, Tomasulo algorithm with..., Out of Order Execution, Layout, Pipeline Design, Microarchitecture, Parallel Computing, Logic Design, Multi-core, RTL coding, Socket Programming, Cadence, Simulations, Tomasulo Algorithms, Logical effort, Cadence Version 6.1-..., Modelsim PE, Protel 99SE, MATLAB, WINFIOL, Citrix, layout designing, Windows Programming, XP, Windows 7, Cadence Virtuoso
Education
University of Southern California 2011 — 2013
Masters, Electrical Engineering- with Majors in VLSI and Computer Architecture, 3.63
Y.M.C.A. Institute Of Engineering, Faridabad 2005 — 2009
B.Tech, Electronics & Communication Engineering, 75.71%
DAV Public School, Sector-14, Faridabad 1996 — 2005
CBSE, Non Medical
Microsoft June 2013 - Present
University of Southern California September 2012 - February 2013
Intel Corporation May 2012 - August 2012
University of Southern California August 2011 - May 2012
Skills
C++, Matlab, Processing, OpenGL, Computer Graphics, Computer Animation, Computer Vision, OpenCV, Programming, Artificial Intelligence, Python
Education
University of Southern California 2011 — 2013
Master of Science (MS), Computer Science, A
University Institute of Engineering and Technology (UIET) 2007 — 2011
Bachelors of Technology, Computer Science and Engineering
NVIDIA February 2013 - Present
NVIDIA May 2012 - August 2012
University of Southern California November 2011 - May 2012
Intel Corporation July 2009 - July 2011
Skills
Computer Science, C, Database Management, Data Structures, Perl, SQL, Operating Systems, C++
Education
University of Southern California 2011 — 2012
MS, Computer Science, 3.5
R. V. College of Engineering, Bangalore 2005 — 2009
Bachelor of Engineering (B.E.), Computer Science
Intel Corporation April 2012 - Present
intel July 2001 - April 2012
Samsung Electronics 1994 - 2000
Skills
SoC, Verilog, RTL design, ASIC, VLSI, SystemVerilog, Static Timing Analysis, Semiconductors, Computer Architecture, Debugging, VHDL, Processors, Digital Signal..., Functional Verification, Physical Design, Low-power Design, Logic Design, DFT, Microprocessors
Education
University of Southern California 1990 — 1992
MSEE
Intel Corporation June 2015 - Present
Intel Corporation February 2015 - May 2015
USC Ming Hsieh Institute - Department of Electrical Engineering August 2014 - December 2014
USC Ming Hsieh Institute - Department of Electrical Engineering January 2014 - May 2014
Skills
ASIC Design, Verilog, VHDL, ModelSim, Physical Design, Cadence Virtuoso Layout..., Xilinx ISE, Matlab, RTL design, Layout Verification, FPGA, MIPS, x86 Assembly, Perl Script, Unix Shell Scripting, NCSim, Cadence Spectre, GNU Make, Static Timing Analysis, Labview, Timing Closure, Multisim, Pspice, DFT, Design Verification...
Education
University of Southern California 2013 — 2015
Master's degree, Computer Engineering
Sardar Vallabhbhai National Institute of Technology, Surat, India 2008 — 2012
Bachelor's degree, Electronics Engineering
Intel Corporation August 2012 - Present
Intel Corporation February 2012 - May 2012
University of Southern California August 2010 - May 2012
Tata Consultancy Services September 2008 - August 2010
Skills
Logic Design, RTL design, UVM, Functional Verification, Mixed Signal, VLSI, Verilog, SystemVerilog, Low-power Design, Formal Verification, RTL Verification, ASIC, RTL Coding, CDC, Simulations, Debugging, Cadence Virtuoso, Perl, Computer Architecture, Integrated Circuit..., Circuit Design, Physical Design, CAD, SPICE, VHDL, TCL, Cadence, EDA, Synopsys tools
Education
University of Southern California 2010 — 2012
M.S, Electrical Engineering
Walchand College of Engineering 2004 — 2008
B.E, Electronics Engineering
Intel Corporation June 2015 - Present
Intel Corporation January 2015 - May 2015
Micron Technology May 2014 - August 2014
Intel Mobile Communications May 2012 - June 2013
Wipro Technologies July 2011 - June 2013
Reliance May 2009 - August 2009
Skills
SystemVerilog, Verilog, Static Timing Analysis, Open Verification..., USB, Cadence Virtuoso, Functional Verification, Physical Design, Floorplanning, Schematic to layout..., AMBA AHB, ModelSim, Clock Distribution, Auto-Place and Route..., Custom-Polygon editing, I2C, OVM, Wire load models, Parasitic Extraction, Functional coverage, ARM processor knowledge, System Verilog..., Gate Level Simulation, Development of..., C language, OOP, C, ARM, SoC, Full-chip assembly, Packaging, Physical Design Flow, ASIC, VHDL, Debugging
Education
University of Southern California 2013 — 2015
Master of Science (MS), Electrical Engineering(VLSI Design)
West Bengal University of Technology 2007 — 2011
Bachelor of Technology (B.Tech.), Electronics and Instrumentation Engineering, 8.45 / 10
St. Joseph's
Physical Sciences, 86%
St. Mary's
Physical Sciences, 90%
Intel Corporation June 2015 - Present
Intel Corporation January 2015 - May 2015
Bharat Sanchar Nigam Limited May 2011 - July 2011
Skills
Verilog, Cadence Virtuoso, Labview, C, Matlab, ModelSim, Multisim, Microcontrollers, VLSI, RTL coding, Python
Education
University of Southern California 2013 — 2015
Master's Degree, Electrical and Electronics Engineering
Vellore Institute of Technology 2009 — 2013
Bachelor of Technology (BTech), Electrical, Electronics and Communications Engineering
Delhi Public School - hyderabad
Samsung Electronics December 2008 - December 2014
Intel Corporation July 1999 - September 2008
Rose-Hulman Institute of Technology August 1998 - May 1999
Louisiana Tech University August 1994 - August 1998
Skills
Semiconductors, Embedded Systems, ASIC, Debugging, Embedded Software, FPGA, SoC, Product Management, Processors, IC
Education
University of Southern California
Ph.D., Computer Engineering
University of Southern California
Master of Science (MS), Computer Engineering
Intel Corporation 2012 - Present
Chelsio Communications 2011 - 2012
Education
University of Southern California 2008 — 2010
Master of Science, Electrical Engineering
R. V. College of Engineering, Bangalore 2003 — 2007
Bachelor of Engineering, Telecommunication Engineering
The Frank Anthony Public School
Apple January 2012 - Present
Intel Corporation May 2015 - August 2015
Raytheon May 2012 - August 2014
Skills
Electrical Engineering, Simulations, Automation, Troubleshooting, Debugging, RF, Distributed Systems, PCB design, Embedded Software, Algorithms, Microsoft Office, PCB Design
Education
University of Southern California
Master of Science (M.S.), Electrical Engineering (Computer Networks)
University of Southern California 2011 — 2015
Bachelor of Science (B.S.), Electrical Engineering
Intel Corporation November 2011 - Present
Skills
Systems Engineering, Industrial Engineering, SAP
Education
University of Southern California 2007 — 2011
Bachelor of Science (BS), Industrial Engineering
Symantec August 2015 - Present
Intel Corporation May 2015 - August 2015
Standard & Poor's Capital IQ June 2012 - July 2014
S&P Capital IQ January 2013 - June 2013
Skills
SQL, C, HTML, C#, Java, C++, Windows, Core Java, Programming, Oracle, HP QTP, Microsoft Office, Microsoft Excel, CSS, JavaScript, MySQL, Microsoft Word, Microsoft SQL Server, QTP, Eclipse, Photoshop, XML, Databases, Python, jQuery, PHP, Visual Studio, JSON, Linux, NLP, Testing, Computer Science, Data Structures, Android, Android Development, Android SDK, Bluetooth
Education
University of Southern California 2014 — 2016
Master of Science (M.S.), Computer Science
Vasavi College of Engineering, Osmania university 2008 — 2012
Bachelor of Engineering (B.E.), Information Technology, 4.0 / 4.0
Intel Corporation April 2000 - Present
Self-Employed January 1974 - Present
Xerox January 1994 - January 1999
Skills
Testing
Education
University of Southern California
Music, from Aaron Copland to Jim Morrison
eBay Inc August 2014 - Present
Intel Corporation October 2011 - July 2013
Intel Corporation May 2011 - August 2011
Skyworks Solutions, Inc. August 2010 - April 2011
Industrial Technology Research Institute May 2009 - August 2009
Skills
C, C++, Java, Perl, JavaScript, CSS, Algorithms, Linux, Android, Debugging, HTML, XML, OOP, Django, Node.js, iOS, MySQL, REST, Databases, jQuery, Amazon EC2, DSP, Foreign Languages, Software Engineering
Education
University of Southern California 2010 — 2011
M.S., Electrical Engineering - Digital Signals Processing in Multimedia
University of Southern California 2007 — 2010
B.S., Electrical Engineering - Digital Signals Processing
Taipei American School 1998 — 2006
Intel Corporation August 2011 - Present
University of Southern California August 2010 - December 2010
Bloomberg LP May 2010 - August 2010
Tata Consultancy Services December 2008 - August 2009
Skills
C++, Java, Design Patterns, Data Structures, XML, AJAX, MySQL, Object Oriented Design, Algorithm Design, Large Scale Deployments, C, Test Driven Development, Computer Vision, OpenCV, Database Design, Visual Studio, Parallel Programming, Backend, JSON, Servlets, JSP, C#, Objective-C, XSL, Artificial Intelligence, SQL Server, Databases, XAML, XSLT, Algorithms, PL/SQL, SIMD, MMX, SSE2, C++0x, Machine Learning, SQL, PHP, Refactoring, Unity3D, Perl, Oracle
Education
University of Southern California 2009 — 2011
MS, Computer Science
Vidyavardhini's college of Engg & Tech (Mumbai University) 2004 — 2008
BE, Information Technology
Intel Corporation March 2011 - Present
ETA-PCS August 2006 - January 2007
Skills
SPICE, VHDL, ModelSim, Perl, VLSI, Verilog, Simulations, C
Education
University of Southern California 2008 — 2010
MSEE, Electrical Engineering VLSI
University of Southern California 2008 — 2010
MSEE, Electrical Engineering
Bits-Pilani, Dubai Campus
University of Southern California
M.S, Electrical Engineering
Intel Corporation 2007 - Present
Intel Corporation 2005 - 2007
Intel Corporation 2004 - 2005
Agilysys 2000 - 2004
Agilysys 1994 - 2000
Arrow Electronics 1992 - 1994
Skills
Product Management, Go-to-market Strategy, Demand Generation, Product Marketing, Strategic Partnerships, Program Management, Enterprise Software, Business Development, Mandarin, Building Effective..., Sales, Negotiation, Communication Skills, Presentations, Supply Chain..., Key Account Management, Revenue Growth..., Software, Computer Hardware, E-commerce, Product Development, Distribution Strategies, Cross-functional Team..., Strategy
Education
University of Southern California 1983 — 1987
Bachelor's Degree, Electrical Engineering
Intel Corporation July 2004 - Present
Skills
Ruby, Debugging, Software Engineering, Software Development, Programming, Algorithms, C, Linux, Visual Studio, Agile Methodologies, C#, C++, XML, Java, Distributed Systems, Process Automation, Semiconductors
Education
University of Southern California 2000 — 2004
Bachelor's Degree, Computer Science
Ayni Communications 2013 - Present
Standing Cloud (Acquired by AppDirect) 2011 - 2013
Ice Energy 2008 - 2011
Save Our Wild Salmon Coalition January 2006 - March 2008
Aurema (Acquired by Citrix) January 2002 - February 2006
Qpass (Acquired by AmDocs) 2000 - 2002
Intel Corporation 1999 - 2000
Waggener Edstrom 1994 - 1999
Skills
Strategy, Marketing Strategy, Marketing, Strategic Planning, Marketing Communications, Social Media, Start-ups, Media Relations, Corporate Communications, Public Relations, Online Marketing, Social Media Marketing, Event Management, Website Development, Strategic Communications, Integrated Marketing, Online Advertising, B2B, Analyst Relations, Management, Internal Communications, Copywriting, Strategic Partnerships, Product Marketing, Editing, Marketing Management, Non-profits, Communication Training, Program Management, Email Marketing, Content Management, Writing, Corporate Events, Account Management, Executive Communications, Social Networking, Press Releases, Digital Marketing, B2B Marketing, Content Strategy, Team Management, Strategy Development, Entrepreneurship, Messaging, E-commerce
Education
University of Southern California
B.A. Journalism, USC Annenberg School For Communication
Intel Corporation January 2013 - Present
Intel Corporation October 2011 - January 2013
Intel June 2008 - September 2011
Intel January 2006 - June 2008
Intel April 2003 - December 2005
Intel January 2002 - April 2003
Skills
Firmware, Software Design, Android, Embedded Systems, Debugging, Computer Architecture, Device Drivers, Hardware, SoC, Processors, System Architecture, Semiconductors, Architecture, Software Development, Microprocessors, Intel, Perl, Operating Systems, C
Education
University of Southern California 1999 — 2002
BS, Computer Science
MediaTek January 2015 - Present
Intel Corporation October 2012 - January 2015
Broadcom September 2009 - October 2012
TrellisWare Technologies March 2009 - September 2009
Conexant November 2005 - March 2009
University of Southern California September 2001 - May 2005
Skills
LTE, WCDMA, ATSC, Matlab, Mathematica, Simulations, Algorithms, CDMA, HSPA, Signal Processing, SoC, Digital Signal..., Digital Signal...
Education
University of Southern California 1999 — 2005
Ph.D., Electrical Engineering - Systems
Intel Corporation May 2012 - Present
Intel Corporation January 2009 - April 2012
Intel Corporation December 2008 - December 2009
DIRECTV May 2007 - August 2007
DIRECTV May 2006 - August 2006
Skills
Embedded Systems, Software Engineering, Embedded Software, Android, C++, Software Development, C, Debugging, Operating Systems, Eclipse, Python, Computer Science, Training, Intel, Unix, Linux, Java, Programming, Shell Scripting, Learning Quickly, Marketing, Microsoft Office, Public Speaking, Toastmasters, Cross Functional..., Product Marketing, Software Design
Education
University of Southern California 2004 — 2008
Bachelor of Science (B.S.), Computer Science
Social Venture Partners Portland October 2004 - Present
Social Venture Partners 2004 - Present
Intel Corporation April 1977 - October 2004
Education
University of Southern California 1966 — 1971
B.S. and M.S., Electrical Engineering
Retired February 2014 - February 2015
Intel Corporation July 2009 - February 2013
Boy Scouts O America, Cascade Pacific Council 1997 - 2010
Intel Corporation July 2004 - June 2009
Skills
Leadership, Training, Project Management, Team Leadership, Leadership Development, Public Speaking, PowerPoint, Event Management, Project Planning, Microsoft Excel, Microsoft Word, Quality Assurance
Education
University of Phoenix - Hillsboro, OR Campus 2000 — 2002
Master of Business Administration (MBA), Business Administration and Management, General, 3.59 GPA
University of Southern California 1984 — 1986
Master's degree, Management Information Systems and Services
Worcester Polytechnic Institute 1968 — 1973
Bachelor of Science (BS) Chemistry, Physical Chemistry
Intel Corporation May 2015 - Present
Intel Corporation December 2012 - Present
Phoenix Technologies October 2008 - July 2012
Focus Enhancements March 2007 - September 2008
Kentrox, LLC February 2006 - March 2007
IP Fabrics February 2003 - February 2006
Pixelworks May 1999 - February 2003
RadiSys Corporation July 1992 - April 1999
Tektronix, Inc. February 1989 - May 1992
Skills
Engineering Management, Software Engineering, Embedded Software, Embedded Systems, Debugging, Device Drivers, Firmware, C, Software Development, Linux, Hardware, SoC, Testing, Linux Kernel, Operating Systems, Embedded Linux, RTOS, Hardware Architecture, Microcontrollers, X86, Subversion, Mobile Devices, ARM, Processors, System Architecture, Wireless, Bios
Education
University of Southern California 1984 — 1988
Bachelor of Science in Electrical Engineering, Emphasis on Computer Architecture
Intel Corporation 2008 - Present
NetEffect June 2005 - October 2008
Skills
ASIC, Verilog, SoC, Debugging, Semiconductors, Static Timing Analysis
Education
University of Southern California 1987 — 1996
Intel July 2012 - Present
Intel Corporation February 2009 - June 2012
Skills
Spyglass, Semiconductors, Synopsys ICC, Synopsys DC, Synopsys Primetime, Synopsys Primetime PTPX, Linux, Perl, Verilog, Tcl-Tk, Simulations, Embedded Systems, Android Development, ASIC, C++, Algorithms, C, Java, Matlab, VLSI, Unix, JavaScript, Microsoft Office, SharePoint, RV, LV, DFX, Netlist, RTL Design, Parasitic Extraction, StarRC
Education
University of Southern California 2007 — 2008
Master’s Degree, Electrical and Electronics Engineering (VLSI), 3.8
Zhejiang University 2002 — 2006
Bachelor’s Degree, Electical Engineering, 3.8
Intel Corporation September 2014 - Present
Sumitomo Electric Device Innovations USA, Inc January 2013 - Present
Zephyr Photonics December 2011 - December 2012
Emcore July 2008 - December 2011
Intel April 2005 - July 2008
Infinera November 2001 - April 2005
Mahi Networks June 2001 - November 2001
Ciena July 1997 - June 2001
Skills
Engineering Management, High Speed Design, Fiber Optics, Optical Communications, RF, PCB design, DWDM, Photonics, Labview, Optoelectronics, Optics, Nonlinear Optics, Product Engineering, Electrical Engineering, Optical Fiber, Semiconductors, Telecommunications, Analog, Manufacturing, Failure Analysis, Signal Integrity, Sensors, IC, Characterization, PCB Design
Education
Babson College - Graduate School of Business 2007 — 2008
Graduate School of Business
University of Southern California 1993 — 1997
PhD, EE
Zhongshan University 1985 — 1988
MS, Physics, EE
Intel Corporation April 2004 - Present
Intel July 2000 - April 2004
Symantec May 1999 - June 2000
USC University Computing Services May 1996 - May 1999
Education
University of Southern California 1998 — 2000
MS Computer Engineer
University of Southern California 1994 — 1998
BS Biomedical Engineering
Xilinx July 2013 - Present
Intel Corporation July 2011 - July 2013
Marvell Semiconductor January 2008 - July 2011
Intel Corporation April 2007 - January 2008
Skills
ASIC, Technical Leadership, Cross-functional..., SoC, Microarchitecture, High Speed Digital, RTL design, ARM, AMBA, SSD, Lint, Timing Closure, BIST, Verilog, Functional Verification, NAND Flash, Perl Script, SATA, Power Management, Static Timing Analysis, SystemVerilog, PCIe, X86, Processors, IC, Low-power Design, Computer Architecture, Microprocessors, Debugging, VLSI, DFT, EDA, FPGA, Logic Design, ModelSim, TCL, Circuit Design, Physical Design, UVM, Logic Synthesis, Integrated Circuit..., Hardware Architecture, Semiconductors, RTL Design
Education
University of Southern California 2005 — 2006
M.S., Electrical Engineering
Freelance January 2008 - Present
Freelance January 2008 - Present
Intel Corporation November 2012 - February 2014
Intel Corporation May 2011 - August 2011
Ready to Play Corp May 2010 - August 2010
Angel Productions August 2009 - July 2010
MID-PENINSULA MEDIA CENTER January 2007 - June 2007
Skills
Physics, Microsoft Excel, Data Analysis, SQL, Microsoft Office, PowerPoint, Social Media, Microsoft Word, Research, Social Networking, Customer Service
Education
University of Southern California 2009 — 2012
Bachelor of Science, Physics
Intel Corporation September 2012 - Present
Intel Corporation February 2011 - September 2012
Information Sciences Institute January 2002 - May 2009
Information Sciences Institute May 2001 - December 2001
Education
University of Southern California 2002 — 2009
Doctor of Philosophy (Ph.D.)
University of Southern California 1999 — 2001
Master of Science (M.S.)
Intel Corporation September 2013 - Present
Micron Technology January 2013 - May 2013
University of Southern California September 2012 - December 2012
Information Technology Services January 2012 - August 2012
Jaypee Institute of Inormation Technology August 2007 - May 2011
NTPC June 2010 - August 2010
Skills
Cadence Virtuoso, ModelSim, NCSim, Verilog, C, Perl Script, Matlab, LTSpice, Synopsys DC, Computer Architecture, Unix, VHDL, Silicon Validation, Verification, Debugging, Integrated Circuit..., Synopsys tools
Education
University of Southern California 2011 — 2013
M.S, Electrical Engineering
Jaypee Institute of Information Technology 2007 — 2011
Bachelor of Technology (B.Tech.), Electronics and Communication Engineering
Intel Corporation July 2011 - Present
Broadcom January 2011 - May 2011
Cognizant Technology Solutions December 2007 - July 2009
Skills
ASIC, FPGA, VLSI, Static Timing Analysis, ModelSim, Integrated Circuit..., VHDL, Logic Design, Simulations, Debugging, Microprocessors, Digital Electronics, Circuit Design, Computer Architecture
Education
University of Southern California 2009 — 2011
MS, Electrical Engineering(VLSI Design)
Cisco Systems January 2012 - Present
Intel Corporation July 2011 - December 2011
University of Southern California August 2010 - July 2011
USC August 2010 - December 2010
Education
University of Southern California 2009 — 2011
Vellore Institute of Technology
Bachelor, Telecommunications Engineering
Intel Corporation April 2011 - Present
University of Southern California August 2009 - December 2010
Cognizant Technology Solutions December 2007 - July 2009
Skills
Verilog, VHDL, ModelSim, FPGA, ASIC, Xilinx, Integrated Circuit..., Microprocessors, Cadence, Digital Electronics, Simulations, C++, Processors, Cadence Virtuoso, VLSI, MIPS, SystemVerilog, RTL design, TCL, Specman, Scrum, Functional Verification, Agile Methodologies, Debugging, UVM, Test Driven Development
Education
University of Southern California 2009 — 2010
Master of Science, Electrical Engg - VLSI Design
Intel Corporation February 2011 - December 2014
Skills
CMOS, Circuit Design, Cadence Virtuoso, IO characterization, IO pad design, VLSI, Microsoft Office, Analog Circuit Design, Matlab, Verilog
Education
University of Southern California 2015 — 2016
Master's Degree, Electrical Engineering with emphasis on Mixed signal and RF design
R. V. College of Engineering, Bangalore 2006 — 2010
Bachelor's Degree, Electrical, Electronics and Communications Engineering, 82%
Oracle June 2014 - Present
Intel Corporation January 2014 - May 2014
USC Auxiliary Services November 2012 - December 2013
Infosys August 2010 - July 2012
Skills
ATPG, Fastscan, Verilog, Java, VHDL, C++, JavaScript, C, Cadence Virtuoso, ModelSim, Logic Design, Adobe LiveCycle Designer, Adobe LiveCycle, Perl, Microprocessors, Computer Architecture, 8051 Microcontroller, Debugging, C++ Language, System Verilog
Education
University of Southern California 2012 — 2014
Graduate Student(Master's), Electrical Engineering, 3.68
PES Institute of technology (PESIT) 2006 — 2010
BE, Electronics and Communication, 77.2%
Intel Corporation October 2011 - Present
Zuzati Inc. DBA BidBass.com March 2011 - July 2011
University of Southern California September 2010 - May 2011
China Telecom January 2009 - April 2009
Skills
VHDL, Perl, Verilog, ASIC, Hardware Architecture, Microprocessors, Embedded Systems, FPGA, TCL, Static Timing Analysis, Timing Closure, Parastic Extraction
Education
University of Southern California 2009 — 2011
MS in Electrical Engineering, Electrical Engineering, Hardware design
Xiamen University 2004 — 2008
BS in Electrical Engineering, Electrical Engineering
Rich's Computer Solutions May 2007 - Present
Intel Corporation June 1995 - May 2006
Skills
Start-ups, Web Development
Education
University of Southern California
Intel Corporation June 2012 - Present
Intel Corporation June 2012 - Present
Siemens Ltd August 2009 - April 2010
Skills
Verilog, VHDL, C/C++, VLSI, Automation, PLC, SCADA, Simulations, ASIC, ModelSim, Computer Architecture, FPGA, Circuit Design, RTL design, Cadence Virtuoso, SystemVerilog, Integrated Circuit..., Embedded Systems, C, Microprocessors
Education
University of Southern California 2010 — 2012
Master of Science, Electrical Engineering
Mumbai University 2006 — 2010
Bachelor of Engineering, Electronics
Intel Corporation September 2011 - Present
University of Southern California August 2010 - May 2011
Wipro Technologies November 2007 - August 2009
Education
University of Southern California 2009 — 2011
Masters, Electrical Engineering
Intel Corporation February 2013 - May 2013
Forza Silicon June 2012 - August 2012
Persistent Systems May 2011 - July 2011
Persistent Systems January 2010 - May 2011
Skills
UVM, SystemVerilog, Verilog, Perl, C++, Memory Controllers, NCSim, Cadence Virtuoso, Computer Architecture, Simvision, FPGA, Xilinx ISE, Synopsys Design Compiler, VHDL
Education
University of Southern California 2011 — 2013
MS Electrical Engineering
Yeshwantrao Chavan College Of Engineering 2005 — 2009
Bachelor Of Engineering, Electronics Engineering
Intel Corporation January 2015 - Present
Xilinx January 2014 - November 2014
Synopsys September 2003 - January 2014
Asynchronous VLSI group, University of Southern California August 1997 - May 2003
Department of EE-Systems, University of Southern California August 1996 - May 1999
Skills
EDA, Verilog, ASIC, TCL, Physical Design, Low-power Design, RTL design, VLSI, C, Embedded Systems
Education
University of Southern California 1997 — 2003
Ph.D., Electrical Engineering
University of Southern California 1995 — 1997
Master of Science (MS), Electrical Engineering
Yonsei University 1988 — 1994
Bachelor of Science (BS), Electronics Engineering
Netronome October 2012 - Present
Intel Corporation June 2011 - August 2011
Education
University of Southern California 2010 — 2012
Masters Of Science, Electrical Engineering (VLSI Design)
Vidyalankar Institute of Technology (VIT) 2006 — 2010
Bachelor of Engineering, Computer Engineering
Intel Corporation September 2011 - Present
Intel Corporation April 2004 - August 2011
Skills
ASIC, SoC
Education
University of Southern California 2001 — 2003
Master's degree, Electrical and Electronics Engineering
Motorola Mobility September 2006 - September 2012
Continous Computing Corp. April 2003 - July 2006
Intel Corporation October 2000 - March 2003
Skills
C/C++/Java, Software integrations, Debugging, Embedded Systems, Linux, Android Development, Unix, Git, ClearCase, ADB, Software Development
Education
University of Southern California 1998 — 2000
Master's degree, Electrical Engineering
Dolby Laboratories February 2004 - Present
Intel Corporation January 2001 - January 2004
Signal & Image Processing Institute, USC September 1998 - December 2000
Media House, Co. LTD. January 1997 - August 1998
LG Electronics June 1993 - January 1997
Skills
Image Processing, Video Compression, Video Processing, MPEG2, H.264, MPEG-4, MPEG, SoC, Algorithms, Digital Video, Digital TV, Digital Cinema, Image Compression, High Performance..., Display Technology, Consumer Electronics, VOD, Digital Signal..., Signal Processing
Education
USC 1998 — 2000
PhD Candidate, Electrical Engineering
Yonsei University 1991 — 1993
MS, Electrical Engineering
Yonsei University 1987 — 1991
BS, Electrical Engineering
Intel Corporation September 2012 - Present
USC Final Game Project August 2011 - May 2012
USC Intermediate Game Project August 2010 - December 2010
USC Continuing Education July 2009 - July 2009
Skills
C++, C#, Java, ActionScript 3.0, HLSL, Visual Studio, Flash, Unity3D, Maya, Subversion, Perforce, Kinect, 3D
Education
University of Southern California 2008 — 2012
Bachelor of Science (BS), Computer Science
Intel Corporation February 2006 - Present
Freescale Semiconductor January 2000 - February 2006
Education
University of Southern California
Ph. D, Materials Science
Intel Corporation June 2013 - Present
Demand Media January 2013 - May 2013
Education
USC 2011 — 2013
Intel Corporation June 2013 - Present
Skills
Chemical Engineering, Process Engineering, Process Improvement, Nanotechnology, Diffusion
Education
University of Southern California 2008 — 2012
Bachelor of Science (B.S.), Chemical Engineering
Carnegie Mellon University 2012 — 2013
Chemical Engineering
Intel Corporation December 1995 - December 2012
Education
University of Southern California 1993 — 1995
MS, Electrical and Electronics Engineering
University of Southern California
Microsoft December 2012 - Present
Intel Corporation June 2011 - December 2012
Intel Corporation June 2010 - December 2010
Lockheed Martin June 2009 - September 2009
Skills
Simulations, C, Software Engineering, C++, Perl, Embedded Systems, Software Design, Hardware, Debugging, Programming
Education
University of Southern California 2009 — 2011
Master's degree, Computer Science
University of Southern California 2005 — 2009
Bachelor's degree, Computer Science and Computer Engineering
Intel Corporation July 2012 - Present
Skills
Debugging, Processors
Education
University of Southern California 2013 — 2015
UC Santa Cruz 2009 — 2011
Bachelor of Science (B.S.), Computer Engineering
Intel Corporation July 2015 - Present
Intel Corporation January 2015 - May 2015
Skills
VHDL, C, Matlab, C++, Xilinx, Cadence Virtuoso, Verilog, ModelSim, Microcontrollers, VLSI, Physical Design, Computer Architecture, Linux, FPGA, Perl, ASIC, Pspice
Education
University of Southern California 2013 — 2015
Master of Science (M.S.), Computer Engineering-Digital VLSI, 3.86
B N M Institute of Technology 2009 — 2013
Bachelor of Engineering (BEng), Electrical, Electronics and Communications Engineering
St. Josephs' Pre-University College 2007 — 2009
High School
Bishop Cotton Boys' School 1996 — 2007
Schooling
Reduced Energy Microsystems January 2015 - Present
Intel Corporation February 2013 - January 2014
Education
University of Southern California 2011 — 2016
Doctor of Philosophy (Ph.D.), Electrical Engineering
University of Southern California 2010 — 2011
Master's Degree, Electrical Engineering
University of Southern California 2006 — 2010
Bachelor's Degree, Electrical Engineering