Kevex Instruments

Kevex Instruments List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Kevex Instruments. You can filter them based on skills, years of employment, job, education, department, and prior employment.

Kevex Instruments Salaries. You can even request information on how much does Kevex Instruments pay if you want to. Learn about salaries, pros and cons of working for Kevex Instruments directly from the past employees.

Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!

11 Kevex Instruments employees in database. Find out everything there's to know about Kevex Instruments employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.

Kevex Instruments Employees

Employee
Years
Job
Industry
Richard Fiore Richard Fiore Raleigh-Durham, North Carolina Area Details
Richard Fiore's Kevex Instruments Experience August 1981 - December 1989
Job National Sales Manager at Tescan USA
Industry Research
Experience
Tescan   September 2013 - Present
Gatan, Inc.   July 2010 - September 2013
Protochips, Inc.   April 2009 - July 2010
Hitachi High Technologies America  July 2006 - April 2009
Carl Zeiss  September 2003 - June 2006
NC State University  January 2001 - September 2003
Veeco Instruments  March 1998 - December 2001
Kevex Instruments   August 1981 - December 1989
Vesuvius Research   March 1978 - August 1981
The Franklin Institute  September 1975 - March 1978

Skills
SEM, Scanning Electron..., FIB, Powder X-ray Diffraction, Semiconductors, Product Development, AFM, Materials Science, Nanotechnology, Characterization, Microscopy, CRM

Education
North Carolina State University   2001 — 2003
Materials Science and EM courses

Drexel University   1972 — 1976

Chris Janko Chris Janko San Francisco Bay Area Details
Chris Janko's Kevex Instruments Experience August 1988 - January 1996
Job Manager, Imaging Systems at Accuray
Industry Computer Software
Experience
Accuray, Inc.   October 2006 - Present
Metara, Inc.   August 2002 - October 2006
Christopher A. Janko Consulting   October 1998 - August 2002
BJR - Browning Janko Research   January 1995 - October 1998
Kevex Instruments   August 1988 - January 1996
EDAX International   June 1985 - July 1988

Skills
Software Development, Requirements Analysis, C++, Agile Methodologies, Software Engineering, Process Improvement, Cross-functional Team..., Integration, Microsoft SQL Server, Product Development, Software Project..., Quality Assurance, Software Documentation, Engineering, Strategy, Business Strategy, Semiconductors, Medical Devices, Electronics, Product Management, Six Sigma, Start-ups, Algorithms, Management, Engineering Management, Manufacturing, R&D, Process Simulation

Education
University of California, Berkeley   1988 — 1991

Benedictine University   1979 — 1985
BS

Bob Schaller Bob Schaller Grants Pass, Oregon Details
Bob Schaller's Kevex Instruments Experience 1986 - 1990
Job Market Manager at Grants Pass Growers' Market
Industry Management Consulting
Experience
Grants Pass Growers' Market   March 2014 - Present
Southern Oregon Media Group  October 2013 - Present
Honey Do List   May 2013 - Present
Holiday Retirement  April 2012 - April 2013
Grants Pass Daily Courier  January 2007 - April 2012
Applegate Partnership   2007 - 2011
Kevex Instruments   1986 - 1990

Skills
Sustainability, Renewable Energy, Biofuels, Sustainable Energy, Energy, Environmental Awareness, Energy Efficiency, Bioenergy, Entrepreneurship, New Business Development, Agriculture, Contract Negotiation, Business Strategy, Product Development, Management, Event Planning, Nonprofits, Sales, Marketing, Leadership, Public Speaking, Photovoltaics, Coaching, Fundraising, Social Media, Social Networking

Education
Southern Illinois University, Carbondale   1971 — 1972
Music

Drake University   1970 — 1971

Bob Feng Bob Feng San Francisco Bay Area Details
Bob Feng's Kevex Instruments Experience 1994 - 1998
Job Sr. Marketing Manager at Xilinx, Inc
Industry Semiconductors
Experience
Xilinx  March 2010 - Present
Xilinx, Inc  December 2003 - Present
Silicon Graphics Inc  2000 - 2003
SGI  2000 - 2003
Thermo Electron (Noran)   1999 - 2000
Kevex Instruments   1994 - 1998

Skills
ARM, ASIC, Cross-functional Team..., FPGA, Microcontrollers, PCIe, SoC, Technical Marketing, USB, Verilog, Embedded Systems, HDMI, IC, Semiconductors, EDA, Product Management

Education
California State University-Northridge   1995 — 1997
Master, CS

University of Electronic Science and Technology   1988 — 1992
Bachelor, EE

Karen Gernand Karen Gernand San Francisco Bay Area Details
Karen Gernand's Kevex Instruments Experience 1984 - 1990
Job Editor at Judicial Council of California
Industry Writing and Editing
Experience
Judicial Council of California  October 2010 - Present
Nixon Peabody  January 2005 - October 2010
Montgomery Securities  1993 - 1996
SRI International  1991 - 1993
Kevex Instruments   1984 - 1990

Skills
Proofreading, Word, Editing, Software Documentation, Microsoft Word, Advertising, PowerPoint, Newsletters, Marketing, Copy Editing, Event Planning, Creative Writing, Blogging, Nonprofits, Books, Training

Education
University of California, San Diego   2010 — 2011
Certificate Program, Copyediting

University of California, Irvine   1981 — 1984
B.A., Psychology

Marty Mastovich Marty Mastovich Greater Boston Area Details
Marty Mastovich's Kevex Instruments Experience 1995 - 1996
Job Product Marketing Manager at Inspectrology
Industry Marketing and Advertising
Experience
Inspectrology   January 2012 - Present
Thermo Fisher Scientific  July 2010 - January 2012
Thermo Fisher Scientific  May 2006 - July 2010
IVS/Schlumberger/Soluris   1996 - 2006
Kevex Instruments   1995 - 1996
Noran Instruments  1992 - 1995
Rohm&Haas   1989 - 1992
EMSL Analytical, Inc  1987 - 1989
Aetna  1985 - 1987

Skills
XRF, Measurements, Materials Science, Analytical Chemistry, R&D, Semiconductors, Spectroscopy, Product Development, Instrumentation, Chemistry, Materials, Metrology, Optics, Product Marketing, Scanning Electron..., Semiconductor Industry, Testing, Thin Films, Manufacturing, Product Management

Education
Chestnut Hill College   1989 — 1990
Organic Chemistry

Emory University   1984 — 1985
Geology

Dickinson College   1980 — 1984
BS, Geology

Doug Whisler Doug Whisler San Francisco Bay Area Details
Doug Whisler's Kevex Instruments Experience 1996 - 1997
Job Software Professional, Digital Mapping and Navigation Technologies
Industry Computer Software
Experience
Tele Atlas  1995 - May 2004
Kevex Instruments   1996 - 1997

Education
University of California, Davis (LLNL campus)
Master of Science (M.S.), Engineering/Applied Science

University of California, Davis
Bachelor of Science (B.S.), Physics & Mathematics

Rino Bau Rino Bau Modesto, California Area Details
Rino Bau's Kevex Instruments Experience January 1984 - May 2006
Job Independent Chemical instrumentation Professional
Industry Professional Training & Coaching
Experience
Independent  April 2010 - Present
EDAX Inc.   May 1996 - May 2006
Kevex Instruments   January 1984 - May 2006

Skills
Biotechnology, Lifesciences, Microsoft Office, Microsoft Excel, Biochemistry, Project Management, PowerPoint, Microbiology

Education
American InterContinental University   2006 — 2008
Master of Education (MEd), Curriculum and Instruction, 4.0

Heald Engineering College   1982 — 1984
Associate of Electronic Engineering Technology, Electrical and Electronics Engineering, 3.6

University of San Francisco   1971 — 1975
BA Psychology, Clinical Psychology (Pre Med Curriculum), 3.2

Janet Ang Janet Ang San Francisco Bay Area Details
Janet Ang's Kevex Instruments Experience May 1988 - August 1990
Job Accounting & Finance Professional
Industry Religious Institutions
Experience
Diocese of San Jose  January 2002 - Present
AKT America Inc., subsidiary of Applied Materials   April 1997 - January 2004
Applied Materials  June 1997 - January 2002
Megatest Corporation  February 1992 - April 1997
Dollahite & Associates   August 1991 - January 1992
Racal Milgo Information Systems   January 1990 - July 1991
Kevex Instruments   May 1988 - August 1990
Trillium Test Systems   March 1987 - May 1988
Memorex Corporation  November 1985 - March 1987
Triad Systems  July 1981 - November 1985

Skills
General Ledger, Financial Reporting, Leadership, Accounting, Budgets, Program Management, Microsoft Excel, Financial Analysis

Education
Santa Clara University   2000 — 2002
M.A., Catechetics & Pastoral Ministry

University of Phoenix   1998 — 1999
M.B.A., Accounting and Finance

Santa Clara University   1977 — 1981
B.S., Business Administration & Accounting

Melissa Mindy Raynak Melissa Mindy Raynak Los Gatos, California Details
Melissa Mindy Raynak's Kevex Instruments Experience October 1989 - January 1996
Job Sales Administrator at The Brenner Group
Industry Semiconductors
Experience
The Brenner Group  September 2012 - Present
Home  January 2002 - September 2012
Cypress Semiconductor  2000 - 2001
ATLAS Services   January 2000 - September 2000
Avnet Electronics Marketing/Marshall Industries   January 1999 - December 1999
WYLE Electronics  July 1996 - December 1998
Kevex Instruments   October 1989 - January 1996

Skills
Relationship Development, Resource Utilization, Able To Multi-task, Resource Management, Product Development, CRM, Management, Inter-personal, Forecasting, Marketing, Marketing Strategy, Start-ups, Program Management, Cross-functional Team..., Leadership, Sales, Product Marketing, Product Management, Strategy, SaaS, Integrated Marketing, Competitive Analysis, Sales Operations, Salesforce.com, Business Development, Business Strategy, Mergers & Acquisitions, Entrepreneurship, Strategic Planning

Education
San Jose State University   1993 — 1996
Bachelor of Science- Business Administration, Marketing

College of San Mateo   1990 — 1993
Associate in Arts

Michael Veatch Michael Veatch San Ramon, California Details
Michael Veatch's Kevex Instruments Experience January 1979 - January 1993
Job Sr. Systems/Network Associate at Lawrence Livermore National Laboratory
Industry Research
Experience
Lawrence Livermore National Laboratory  October 2002 - Present
Kaiser Aluminum Corporation   January 1994 - March 2001
Kevex Instruments   January 1979 - January 1993

Skills
Linux, Troubleshooting, C, Network Security, System Administration, Network Administration, Active Directory, TCP/IP, Security, Telecommunications

Education
Diablo Valley College