Synopsys Company
Industry: Computer integrated systems design company
DescriptionSynopsys is an American company. Synopsys' first and best-known product is Design Compiler, a logic-synthesis tool. Synopsys offers a wide range of other products used in the design of an application-specific integrated circuit. Headquarters: Mountain View, California, United States Number of employees: 12,590 (Q2 Fiscal 2018) Subsidiaries: Coverity, Black Duck Software, Protecode, CEO: Chi-Foon Chan (23 May 2012–), Aart de Geus (23 May 2012–)
Synopsys List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Synopsys. You can filter them based on skills, years of employment, job, education, department, and prior employment.
Synopsys Salaries. You can even request information on how much does Synopsys pay if you want to. Learn about salaries, pros and cons of working for Synopsys directly from the past employees.
Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!
2,473 Synopsys employees in database. Find out everything there's to know about Synopsys employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.
Synopsys Employees
eBay October 2015 - Present
eBay Inc August 2014 - Present
eBay Inc August 2013 - August 2014
eBay June 2011 - August 2013
Synopsys August 2008 - April 2011
Synopsys May 2002 - May 2008
Synopsys July 2000 - April 2002
Pentagram Design September 1998 - August 2000
Skills
User Experience, Information Architecture, Usability Testing, Web Design, Interaction Design, User Experience Design, Graphic Design, User Interface, Photoshop, User Interface Design, User-centered Design, Usability, Mobile Devices, Human Computer..., Graphics, Mobile Design
Education
San Jose State University 2008 — 2011
MS, Human Factors
ArtCenter College of Design
BA, Graphic Design
Synopsys Inc May 2015 - Present
Intel Corporation 2015 - 2015
Synopsys June 2012 - July 2014
Skills
Verilog, C, C++, Algorithms, Perl, Python, Matlab, VLSI, Linux, Java, Embedded Software, Software Engineering, Programming, Unix, Data Structures
Education
Texas A&M University 2014 — 2016
Master of Science (MS), Computer Engineering
IIT, Patna 2008 — 2012
Bachelor of Technology (B.Tech.), Electrical and Electronics Engineering, 9.03/10 Rank in class: 3
Synopsys March 2011 - Present
Skills
Simulations, Numerical Analysis, Fortran, TCAD, Semiconductors, Python, Numerical Simulation, Monte Carlo Simulation, CMOS, Nanotechnology, C++, Physics, Electrical Engineering, MPI, Matlab, Semiconductor Device, R&D, C, Electromagnetics, High Performance..., Parallel Computing, Programming, Shell Scripting, Silicon, Modeling
Education
Arizona State University 2005 — 2008
Ph.D.
Arizona State University 2003 — 2005
M.S.
University of Southern California 1989 — 1993
B.S.
Synopsys June 2014 - Present
SaPHIBeat Technologies Inc May 2014 - Present
Synopsys March 2012 - Present
M31 Italia srl. - M31 USA LLC September 2012 - December 2013
Magma Design Automation April 2008 - March 2012
Sondrel Ltd November 2005 - January 2008
PDF Solutions November 2002 - October 2005
STMicroelectronics June 1999 - October 2002
Skills
Static Timing Analysis, Timing Closure, Physical Verification, Floorplanning, Low Power Design, Signal Integrity, Clock Tree Synthesis, Physical Design, Low-power Design, SoC, EDA, Italian, ASIC, TCL, VLSI, American English
Education
Università di Bologna 2008 — 2009
Master of Business Administration (M.B.A.)
Università degli Studi di Brescia
MS, Electrical Engineering
Synopsys August 2014 - Present
Stanford University January 2008 - July 2014
Stanford University 2008 - 2010
Synopsys 2006 - 2008
Arizona State University 1996 - 2001
Skills
Semiconductors, Physics, Materials Science, Simulations, Nanoparticles, Numerical Analysis, Monte Carlo Simulation, Numerical Simulation, Scientific Computing, C++, TCAD, Semiconductor Device, Linux, Chemistry, Nanotechnology, Fortran, Matlab
Education
Arizona State University 1996 — 2002
PhD, Electrical Engineering
Oregon State University 1991 — 1996
BS, Physics
SanDisk September 2011 - Present
Exemplar Capital May 2010 - September 2011
Siemens Venture Capital June 2009 - September 2009
Siemens AG December 2008 - May 2009
Synopsys January 2006 - December 2007
Wipro Technologies June 2004 - January 2006
Skills
Business Strategy, Strategy, Mergers & Acquisitions, Semiconductors, Business Planning, Cross-functional Team..., Project Management, Valuation, Corporate Finance, Product Management, Investment Banking, Investments, Analysis, Project Finance, Venture Capital, Financial Modeling, Management, Program Management, Finance
Education
Massachusetts Institute of Technology - Sloan School of Management 2008 — 2010
MS
Anna University 2000 — 2004
B.E
Riverbed Technology February 2006 - Present
Van Os Design, Inc. August 2002 - February 2006
Van Os Consulting January 2001 - August 2002
Synopsys May 1999 - July 2000
CCI- Computer Consultants International, Inc. August 1996 - May 1999
Synon August 1996 - August 1997
DEC/Digital Equipment Corporation June 1994 - August 1996
Unix Support Nederland BV October 1991 - August 1996
Ars Media February 1993 - June 1994
Commodore February 1991 - July 1991
Skills
TCP/IP, Object Oriented Design, Wireshark, Linux, UDP, C++, Unix, Network Programming, Programming, C, Agile Methodologies, Multithreading, Embedded Systems, Machine Learning, MAPI, GNU Debugger, AVR, PCB design, TCP, TCL, STL, Neural Networks, Scrum, Distributed Systems, Software Engineering
Education
Haagse Hogeschool Den Haag 1987 — 1993
B.Sc., Computer Science
Salesforce Foundation January 2013 - Present
Salesforce July 2009 - January 2013
Autodesk Inc. 2005 - 2009
Autodesk Inc. 2003 - 2005
Autodesk Inc. 2002 - 2003
Discreet Logic 2001 - 2002
Autodesk Inc. 2000 - 2001
Synopsys Inc. 1995 - 2000
Synopsys Inc. 1995 - 1997
Synopsys 1994 - 1995
Skills
Salesforce.com, Project Management, Business Objects, Business Intelligence, Business Process, CRM, Business Analysis, Enterprise Software, ERP, Agile Methodologies, Cross-functional Team..., Software Documentation, SAP, Databases, Analytics, Management, Analysis, Testing, Quality Assurance, Outsourcing, SDLC, Data Analysis, Cloud Computing
Education
PMI Project Management Institute 2009 — 2009
Project Management Professional (PMP), Project Management Professional (PMP)
Scrum Alliance - Danube Technologies 2009 — 2009
Certified ScrumMaster (CSM)
European University of America 1985 — 1986
MBA, International Operations
Icam - Institut Catholique d'Arts et Métiers 1980 — 1984
Engineer, Poytechnical Engineering, Computer Sciences major
One Convergence, Inc October 2014 - Present
Celebrity Booking Agency LLC August 2014 - Present
Entertainment Works April 2015 - August 2015
Synopsys June 2014 - August 2014
Juniper Networks May 2013 - August 2013
Carrington Colleges Group (DeVry Inc.) January 2013 - May 2013
Juniper Networks November 2011 - October 2012
La Voz Weekly March 2012 - July 2012
Skills
Marketing, Social Media, Leadership, Event Management, Microsoft Excel, Digital Marketing, Budgets, Public Speaking, Social Media Marketing, Microsoft Office, Data Analysis, Media Planning, Marketing Communications, Social Networking, Strategy, Fundraising, Press Releases, Project Management, Public Relations, Strategic Communications, Affiliate Management, Corporate Communications, Executive Writing, Communications Planning, Outbound Marketing, International Marketing, Social Media Measurement, Entrepreneurship, Internal & External..., Corporate Social Media, Campaign Management, Google Analytics, Technical Writing, Content Strategy
Education
Arizona State University
Bachelor of Arts (B.A.)
Synopsys September 2014 - Present
Habitat Seven February 2014 - August 2014
Viva Lab/ University of Ottawa January 2013 - August 2014
Farman Niushan Co. 2009 - 2010
Parsian Robotic, Amirkabir University of Technology 2008 - 2009
Skills
Computer Vision, C/C++, Image Processing, OpenCV, Artificial Intelligence, Android programming, OpenVX, ARM NEON, Control Engineering, Electronic System Design, OpenCL, CUDA, Robotics, Python, Qt framework, LaTeX, Simulink, Matlab, Mobile Development, Software Development, Algorithms
Education
University of Ottawa 2013 — 2014
Master's Degree, Electrical and Computer Eng
Amirkabir University of Technology - Tehran Polytechnic 2007 — 2011
Bachelor's of Science, Electrical and Electronics Engineering
LogixHealth April 2012 - Present
ConEst Software Systems January 2011 - September 2011
Kadient (formerly Pragmatech) March 2008 - August 2010
Synopsys September 2005 - September 2007
HPL Technologies later acquired by Synopsys June 2001 - September 2005
Armenian Ministry of Defence January 1993 - June 2001
Skills
C++, Databases, CSS, COM, SQL, C#, .NET, SSIS, Microsoft Office, VB.NET, ASP.NET MVC, Software Development, JavaScript, Windows, ASP.NET, Visual Basic, Windows Server, XML, Object Oriented Design, Project Management, SDLC, Unix, Troubleshooting, Testing, Microsoft SQL Server
Education
Hayastani Petakan Chartaragitakan Hamalsaran
Master's degree, Automated Systems and Controls Engineering
Synopsys August 2014 - Present
Altera March 2013 - June 2014
Altera September 2011 - February 2013
University of Toronto January 2006 - July 2011
University of Toronto September 2005 - July 2011
Altera May 2010 - August 2010
Skills
C++, C/C++, Algorithms, Perl, TCL, Python, Numerical Analysis, FPGA, Altera Quartus, IC, VLSI, Computer Architecture, Linux, Unix, Shell Scripting, Verilog, VHDL, Matlab, Signal Processing
Education
University of Toronto, Toronto 2007 — 2011
Doctor of Philosophy (PhD), Electrical and Computer Engineering
University of Toronto, Toronto 2005 — 2007
Master of Applied Science (MASc) degree, Electrical and Computer Engineering
American University of Beirut 2001 — 2005
Bachelor of Engineering (BE) degree, Computer and Communications Engineering
Synopsys January 1995 - Present
IBM April 1981 - December 1994
Kodak May 1980 - April 1981
Skills
Software Development, Product Development, EDA, ASIC, Team Building, Global Teams, Chip Design Management, Foundry Partner..., Circuit Design, Electronics, Start-ups, Microprocessors, Management, SoC, Semiconductors, Strategy, IC, Hardware Architecture, Foundry Management, Verilog
Education
Fairfield University 1984 — 1988
BSEE, Electrical Engineering
Alfred State College - SUNY College of Technology 1978 — 1980
AAS, Electrical Engineering
Synopsys December 2013 - Present
State Key Lab Of Integrated Service Networks, Xidian University May 2009 - March 2010
Skills
Verilog, Matlab, Image Processing, Algorithms, RTL design, Cadence 5/6, RTL verification, Static Timing Analysis, HSPICE, Java, Microcontrollers, SPICE, C/C++, Objective-C, Data Structures, OpenCV, Python, Open CV, Speech Signal Processing, Audio Processing, Physical Design, IC Compiler, Synopsys Primetime
Education
Arizona State University 2011 — 2013
Master's degree, Signal processing, Digital Circuit Design
Xidian University 2007 — 2011
Bachelor's degree, Electrical, Electronics and Communications Engineering
Synopsys ICC Traning
Tabula Inc 2013 - 2015
Ausdia Inc. 2011 - 2012
Mentor Graphics 2005 - 2011
Synopsys 1997 - 2005
Cadence Design Systems 1995 - 1997
Skills
EDA, Formal Verification, Static Timing Analysis, SystemVerilog, Verilog, Logic Synthesis, VHDL, ASIC, TCL, Simulations, Functional Verification, RTL design, VLSI, FPGA, Integrated Circuit..., IC, RTL Design, C++
Education
Indian Institute of Science 1993 — 1995
MS, EE
Andhra University 1984 — 1988
BE, EE
MSA - The Safety Company May 2013 - Present
Synopsys May 2008 - May 2013
Synopsys February 2006 - May 2008
Synopsys December 2003 - February 2006
Synopsys August 2001 - December 2003
Alphasoft Services December 2000 - August 2001
PartsRiver July 2000 - November 2000
GCL India (P) Ltd September 1999 - June 2000
Shilpa Software Corporation November 1997 - August 1999
Skills
SAP Netweaver, SAP FICO, SAP FI-AR, SAP SD, Project Management, Resource Management, IDOC, SAP ERP, Fixed Assets, Accounts Payable, General Ledger, Revenue Recognition, Investment Management, Materials Management, Production Planning, Product Costing, CO-PA, Inventory Management, ABAP, EDI, SAP Travel Management, New GL, IT Capital Planning, SAP PS, SAP Configuration, Financial Controlling, Ariba, Subcontracting, Credit Management, Taxware, Special Purpose Ledger, ESOA, SAP, Business Process, Data Migration, SAP Basis, Integration, SAP BI, Management, ERP, Requirements Analysis
Education
Doctor Babasaheb Ambedkar Marathwada University 1994 — 1996
Master of Science (MS), Computer Science
Andhra University 1990 — 1993
Bachelor of Science (BS), Computer Science
Samsung Research America June 2015 - Present
Inphi Corporation March 2012 - June 2015
Silicon Image July 2007 - March 2012
Synopsys December 2000 - July 2007
Siemens Healthcare July 1998 - July 2000
Skills
General Awesomeness, Compute Infrastructure, Performance Tuning, Perl, Unix, Apache, ClearCase, Network Computing, Python, Linux, Unix Shell Scripting, Red Hat Linux, Simulations, Subversion, EDA, MySQL, C, High Performance..., Perforce, PHP, Project Management, System Administration, LSF, SharePoint, Cacti, FlexLM, Shell Scripting, SQL, VMware, Virtualization, JavaScript, SQL Server, Software Development, C#, Clustering, Configuration Management, Project Engineering, Cloud Computing, AWS, Release Engineering, Process Improvement, TCP/IP, Cliosoft
Education
Indian Institute of Science 1994 — 1998
Master of Engineering (M.Eng.), Material Science
Ramakrishna Mission Vivekananda College 1991 — 1994
Bachelor of Science (B.Sc.), Physiscs
Synopsys March 2015 - Present
iPhotonix January 2014 - December 2014
V-Rooms Virtual Data Rooms June 2013 - August 2013
Skills
C, C++, GPON, SIP, GR-303, TCP/IP, UDP, FTP, BGP, OSPF, QoS, DHCP, DNS, Matlab, Verilog, LTE, Customer Service, ModelSim, IEEE 802.11, Microprocessors, Assembly Language, ARM Cortex-M3, VPN, OSI Model, Pspice, HTML, Xilinx, SQL, Xilinx ISE, ARM, Cadence, Python, 4G, RTL design, 8051 Microcontroller, Microcontrollers, VHDL, ASSEMBLY, Networking, Ethernet, VoIP, CCNA, Linux, Testing
Education
Southern Methodist University 2012 — 2014
Master of Science (MS), Computer Engineering
Anna University 2008 — 2012
Bachelor of Engineering (BE), Electrical, Electronics and Communications Engineering
Real Intent November 2014 - Present
Real Intent February 2014 - October 2014
Toastmasters International July 2013 - June 2014
Atrenta Inc. February 2006 - January 2014
Toastmasters International July 2008 - June 2009
Cadence Design Systems March 2004 - February 2006
Tera Systems February 2002 - March 2003
Synopsys March 1998 - December 2002
Synopsys July 1996 - March 1998
Texas Instruments October 1985 - June 1995
Skills
Product Management, Product Marketing, EDA, IC Design, Operations Management, International Management, Public Speaking, People Management, Software Product..., VLSI, Physical Design, IP design, ASIC, General Management, Customer Relations, RTL design, Community Leadership, SoC, Semiconductors, Engineering Management, Program Management, Cross-functional Team..., IC, Product Launch, Product Strategy, Team Leadership, IP, Management, Business Development, Software Development, Competitive Analysis, Product Development, CRM, Go-to-market Strategy, Business Strategy, Pre-sales, Strategic Partnerships, Technical Marketing, Start-ups, Leadership Development, Enterprise Software, Leadership, Communication, Management Consulting, Project Management, Marketing, Integrated Circuit..., RTL Design, Customer Service
Education
University of California, Berkeley - Walter A. Haas School of Business 2000 — 2003
MBA, Marketing
Annamalai University 1992 — 1994
PGDBA, Business Administration
Indian Institute of Technology, Madras 1983 — 1985
M.S., Computer Science
National Institute of Technology Karnataka 1978 — 1983
B.Tech., Electronics
Synopsys October 2013 - Present
Tezzaron Semiconductor December 2012 - August 2013
Tata BP Solar India Ltd May 2012 - August 2012
Tamilnadu Science and Technology Centre (Guindy) December 2010 - May 2011
Skills
Verilog, C, Perl Script, Unix, UPF, synopsys design compiler, PCIe, SATA, DFT Compiler, ATE, Low-power Design
Education
University of Florida 2011 — 2013
Master of Science (M.S.), ELECTRICAL AND COMPUTER ENGINEERING
Anna University 2007 — 2011
Bachelor of Engineering, Electronics and Communications Engineering, 4.0/4.0
SBOA 2001 — 2007
Middle and High School
Synopsys November 2000 - Present
Synopsys October 2000 - Present
Leda systems 2000 - 2003
Leda systems 2000 - 2003
Skills
Layout, LVS, TCL, Physical Design, Debugging, ASIC, Integrated Circuit..., Semiconductors, Mixed Signal, EDA, Verilog, CMOS, IC, VLSI
Education
State Engineering University of Armenia 1979 — 1986
Master's degree, Computer Engineering
Yerevan State University 1979 — 1984
Master's Degree, Computer Science
Salesforce March 2015 - Present
Symantec 2012 - February 2015
Symantec September 2009 - 2011
Genentech December 2008 - September 2009
BearingPoint @ Genentech April 2004 - November 2008
Cisco Systems 2002 - 2004
Synopsys 2001 - 2002
Key Solutions Inc / Indus Business Systems 1998 - 2001
Skills
IT Strategy, Enterprise Software, Cross-functional Team..., Cloud Computing, Business Strategy, Leadership, Enterprise Architecture, CRM, Digital Marketing, Marketing Strategy, Marketing, Marketing Operations, Demand Generation, Social Media Marketing, Analytics, Marketing Research, Strategy, Product Marketing, Agile Methodologies, Program Management, SDLC, IT Management, SaaS, Project Management, Product Management, Agile Project Management, Scrum, Integration, SOA, Architecture, Lifesciences, Management, Internet, Problem Solving, Software Development, Solution Architecture, Pre-sales, Information Technology, Change Management, Software Project..., IT Operations, Consulting, Economics, PMP, Business Development
Education
University of California, Berkeley - Walter A. Haas School of Business 2011 — 2013
Master of Business Administration (MBA), Strategy & Hi-Tech Marketing
Columbia Business School 2011 — 2013
Master of Business Administration (M.B.A.)
London Business School 2012 — 2012
Go To Market Strategies
Bangalore University 1992 — 1996
Bachelor of Engineering (B.E.), Computer Science & Engineering
Apple, Inc February 2010 - July 2011
GloablFoundries May 2010 - February 2011
AMD May 2010 - February 2011
Synopsys May 2010 - February 2011
AMD May 2009 - February 2010
Skills
Process Scheduler, Inventory Management, Purchasing, Temporary Placement, Time Management, Quality Control, Microsoft Excel, Outlook, Management, Customer Service, Microsoft Office, Training, Heavy Equipment, Product Marketing
Education
Austin Community College 2011 — 2014
Paralegal
Austin Community College
Paralegal/Pre-Law
Lockhart High School- 2005
High School Diploma
Synopsys February 2014 - Present
Broadcom July 2013 - December 2013
Southern Illinois University January 2009 - June 2013
Southern Illinois University January 2009 - June 2013
Skills
VLSI, DFT, Static Timing Analysis, ASIC, C, Verilog, VHDL, TCL, Matlab, Synopsys tools, Circuit Design, Cadence, Cadence Virtuoso, Computer Architecture, Simulations, Xilinx, ModelSim
Education
Southern Illinois University, Carbondale 2009 — 2014
Doctor of Philosophy (Ph.D.), Electrical and Computer Engineering
Southern Illinois University, Carbondale 2007 — 2008
Master of Science (MS), Electrical and Computer Engineering
Pallavan College of Engineering, Anna University 2002 — 2006
Bachelor of Engineering (B.E.), Electronics and Communications Engineering
Synopsys June 2014 - Present
Synopsys April 2013 - May 2014
Synopsys April 2011 - March 2013
Axis Communications September 2005 - April 2011
Skills
Technical Writing, FrameMaker, Microsoft Office, HTML, Photoshop, Manuals, Editing, InDesign, Illustrator, Documentation, Adobe Creative Suite, Translation, User Interface Design, Programming, Adobe Acrobat, XML, Linux, Writing, Technical Communication, Software Documentation, Technical Documentation, Online Help, White Papers, Release Notes, Content Management, RoboHelp
Education
Kristianstad University 2002 — 2004
Masters, Embedded systems
Kristianstad University 1999 — 2002
B.Sc., Electronics and Computer Engineering
North Park University 1979 — 1981
Liberal Arts
AMD May 2010 - Present
Synopsys March 2005 - March 2010
Skills
Perl
Education
Anna University 1996 — 2000
BE, Computer Science
Masterpiece Fundraising August 2008 - February 2010
Puma July 2006 - May 2008
M 2004 - 2005
Bodywork Emporium 2003 - 2004
Delta Engineering 2002 - 2003
Synopsys November 1997 - February 2001
Napster 1999 - 2001
Skills
Footwear, Marketing
Education
San Pablo Comunity College
Ongoing Enrichment
Sarasota School of Massage Therapy 2002 — 2002
Massage Therapy/Therapeutic Massage
De Anza College
Intel Corporation May 2009 - Present
Synopsys January 2000 - December 2002
Actel January 1999 - January 2000
LSI Logic December 1995 - February 1999
Quantum February 1994 - November 1995
Empak September 1992 - December 1993
Skills
SoC, FPGA, SystemVerilog, Static Timing Analysis, Mixed Signal, VHDL, Semiconductors, Logic Synthesis, OVM, Saola, Debugging, Perl, Unix, Linux, Hardware Architecture, Scheme, Lisp, Java, C, C++, Place & Route, Crosstalk, Floorplanning, Primetime, VCS, Apollo, Robotics, Failure Analysis, MEMs, RFID, VLSI, CAD, EDA, Circuit Design, Digital, Analog, Firmware, BIOS, Digital Signal..., Embedding Computing, Electromagnetics, Quantum Mechanics, Device Physics, Astro Physics, ASIC, Synopsys, VNC, Functional Verification, Validation, Thermodynamics
Education
Arizona State University 2008 — 2012
MSCS, Computer Science/Engineering
University of Colorado Colorado Springs 2002 — 2005
MSEE, Electrical Engineering
University of Colorado Colorado Springs 1992 — 1999
BSEE, Electrical Engineering
Synopsys 2000 - Present
Skills
Physical Design, Static Timing Analysis, EDA, ASIC, TCL, Timing Closure, Scripting, Perl, SoC, IC, VLSI
Education
North-Eastern Hill University 1994 — 2000
SoftNet Solutions August 2011 - July 2014
Synopsys March 2008 - July 2014
SoftNet Solutions October 2007 - July 2014
Skills
HP, Professional Services, Storage, Linux, Big Data, Hadoop, Vertica, HBase, Hive, Perl, Python, PHP, Java
Education
Northwestern Polytechnic University 2007 — 2009
MSEE, VLSI
Anna University 2002 — 2006
BE, Electronics & Communication Engg.
Synopsys May 2014 - August 2014
HCL Technologies October 2011 - July 2013
Skills
c, SQL, Data Structures, OPNET, Linux, Vim, Java, Communication, Core Java, C, JSP, C++, Unix, HTML, Databases, Programming, MySQL, Software Development, TCP/IP, Algorithms
Education
North Carolina State University 2013 — 2014
Master of Science (M.S.), Computer Science
Anna University 2007 — 2011
Bachelor of Engineering (B.E.), Electronics and Communication Engineering
AMD August 2015 - Present
Synopsys June 2014 - April 2015
Wipro Technologies June 2011 - May 2013
Skills
Verilog, ASIC, VLSI, ModelSim, SystemVerilog, C, Unix, VHDL, C++, UVM Methodology, Functional Verification, Cadence Virtuoso, Assertion Based..., Code Coverage, RTL design, Static Timing Analysis, NCSim, Logic Synthesis, UVM, Debugging, RTL Design
Education
San Jose State University 2013 — 2015
Master of Science (MS), Electrical and Electronics Engineering, 3.7/4
Anna University 2007 — 2011
Bachelor of engineering, Electronics and communication engineering
Synopsys Inc May 2015 - Present
Synopsys May 2015 - Present
University of Massachusetts Amherst January 2014 - May 2015
University of Massachusetts Amherst September 2013 - December 2013
University of Massachusettes Amherst Dining Common September 2012 - November 2012
Mu2pak(Wuxi, China)Semiconductor Equipment Corporation December 2011 - February 2012
Skills
Verilog, FPGA, Computer Architecture, VLSI, VHDL, Digital Circuit Design, Altera Quartus, C, Python, Cadence Virtuoso, Xilinx ISE, Singular, TDS, SPICE, Eclipse, Debugging, Digital Electronics, Microsoft Office, Assembly Language, Synopsys Design Compiler, Chinese, Matlab, ModelSim
Education
University of Massachusetts, Amherst 2012 — 2014
completing Master of Science (M.S.), Computer Engineering
Southern Yangtze University 2008 — 2012
Bachelor's degree, Microelectronics
Synopsys July 2013 - Present
Synopsys September 2008 - July 2013
Synopsys December 2005 - September 2008
Qualcomm February 2004 - October 2004
Skills
Verilog, System verilog, PCI Express, UVM, FPGA simulation, ASIC Verification, Serial IO Verification..., PCI Express..., EDA, Xilinx, FPGA, SystemVerilog, ASIC
Education
Christ College - Bangalore 2008 — 2010
MBA, Marketing
BMS College of Engineering, Bangalore 2000 — 2004
B.E, Electrical & Electronics
Synopsys August 2012 - Present
State Engineering University of Armenia September 2012 - September 2014
Yerevan State University September 2012 - September 2014
Synopsys September 2008 - July 2012
Skills
TCL, RTL design, Synopsys Primetime, Signal Integrity, Formal Verification, Place & Route, DRC, LVS, Timing Closure, Physical Design, Static Timing Analysis, Hercules, Floorplanning, Debugging, SPICE, VLSI, Mixed Signal, Semiconductors, ASIC, IC, Integrated Circuit..., RTL Design
Education
State Engineering University of Armenia 2010 — 2013
Doctor of Philosophy (PhD), Electronic Design Automation
Synopsys Armenia Educational Department 2008 — 2010
Master of Engineering (MEng), Electrical and Electronics Engineering, 4.0
State Engineering University of Armenia 2004 — 2008
Bachelor, Microelectronic Circuits And Systems, 4.0
Synopsys January 2012 - Present
Intersil June 2010 - August 2010
Accenture June 2007 - July 2009
Tata Institute of Fundamental Research June 2006 - May 2007
Skills
C, C++, Perl, Verilog, System Verilog, Digital Hardware Design, ASIC, Hardware Verification, CMOS, High Speed Design, Assembly, FPGA, Synopsys VCS, Synopsys Design Analyzer, Cadence Virtuoso, Static Timing Analysis, DFT, Matlab, Simulink, ModelSim, Altera Quartus, Xilinx ISE, Computer Architecture, Primetime
Education
UC Santa Cruz Extension 2011 — 2011
VLSI Engineering
San Jose State University 2009 — 2011
Masters, Electrical Engineering
University of Mumbai 2003 — 2007
Bachelors, Electronics Engineering
Swami Vivekananda Institute Of Science And Technology
Synopsys May 2012 - Present
Marvell January 2011 - May 2012
Synopsys November 2009 - January 2011
Synopsys May 2005 - November 2009
Infineon Technologies September 2004 - May 2005
Synopsys February 2004 - August 2004
Synopsys February 2001 - February 2004
Skills
SystemVerilog, Functional Verification, SoC, Verilog, ASIC, Formal Verification, EDA, UVM, VMM, Low-power Design, C, C++, AMBA AHB, UPF, VHDL, PCIe, IEEE 802.11, Low Power, SystemC, Assertion Based..., SPI, I2C, PCI-X, PCI Standards, AMBA AXI, AMBA APB, CPF, SoC Verification, SoC Integration, SystemVerilogAssertions, OVM, PSL, Near Field..., Open Verification...
Education
Indian Institute of Technology, Kharagpur 1999 — 2001
Master of Technology, Computer Engineering
Andhra University 1996 — 1999
Bachelor of Engineering, Electronics & Communications
UnitedHealth Group Optum June 2015 - Present
Qualcomm July 2013 - May 2015
IBM 2006 - 2013
IBM April 2001 - April 2006
Synopsys March 1999 - March 2001
Skills
New Business Development, Entrepreneurship, Strategy, Decision Analysis, Business Process..., Regression Models, Logic Design, Microprocessors, Embedded Systems, TCL, ASIC, Static Timing Analysis, VLSI, Debugging, EDA, Perl, Computer Architecture, Timing Closure, PowerPC, Verilog, Functional Verification, SoC, Physical Design, Processors, Semiconductors, Analog Circuit Design, ModelSim, Hardware Architecture, VHDL, RTL design, CMOS, SystemVerilog, IC, FPGA, Signal Integrity, Simulations, Firmware, Integrated Circuit..., System Architecture, Circuit Design, Embedded Software, Mixed Signal, Hardware, Cadence
Education
Duke University - The Fuqua School of Business
MBA - Fuqua School of Business
Arizona State University 1997 — 1998
Master of Science (MS), EE
GIT, Karnatak University 1992 — 1996
Bachelor of Technology (B.Tech.), EE
PDX May 2012 - Present
Matrix Resources February 2012 - May 2012
Apex Systems September 2009 - February 2012
Ciena February 2008 - March 2009
Lehman Brothers Bank December 2006 - January 2008
Travelport April 2005 - October 2006
Velocity Software, Inc March 2002 - March 2005
Tanning Technology May 1998 - February 2002
Synopsys June 1996 - May 1997
Acuson July 1994 - June 1996
Skills
CVS, Perl, Release Management, Ant, ClearCase, Unix, Software Configuration..., Software Development, XML, CruiseControl, Git, Jenkins, Shell Scripting, Linux, Unix Shell Scripting, Bash, JIRA, AnthillPro, Agile Methodologies, Configuration Management, ClearQuest, Maven, Solaris, Subversion, Scripting
Education
Algonquin College of Applied Arts and Technology 1980 — 1985
Computer Science
Synopsys September 2013 - Present
Synopsys October 2011 - September 2013
Synopsys July 2004 - September 2011
Skills
Physical Design, Static Timing Analysis, Signal Integrity, Low Power Design, Power Analysis, Logic Design, DFT, ATPG, Low-power Design, EDA, Debugging, ASIC, Timing Closure, VLSI, Logic Synthesis, TCL, Perl
Education
Andhra University 1998 — 2002
Bachelor of Engineering (BE), Electrical, Electronics and Communications Engineering
Synopsys August 2004 - Present
Toasters R Us Club 2007 - 2013
Arcadia Design Systems December 1998 - August 2004
ASCII Corporation Japan April 1990 - September 1998
Skills
Physical Design, Timing Closure, SoC, EDA, ASIC, Static Timing Analysis, VLSI, Verilog, Digital Signal..., Formal Verification, Logic Synthesis, RTL design, TCL, Low-power Design, Embedded Systems, Functional Verification
Education
Tokyo University of Technology 1986 — 1990
BS, Information Engineering
Hootsuite Media US Inc. May 2014 - December 2014
Acumatica January 2013 - February 2014
CWR Mobility BV August 2011 - January 2013
Microsoft July 2008 - August 2011
Microsoft July 2006 - June 2008
Hitachi Consulting April 2004 - April 2006
KPMG June 1998 - April 2004
Synopsys October 1994 - June 1998
Skills
Business Alliances, New Business Development, Partner Development, Product Launches, Business Applications, Microsoft Dynamics, Solution Selling, Business Analysis, Business Process..., Cross-functional Team..., Partner Management, CRM, Professional Services, Channel Management, Methodology, Online Transformation, SAP R/3, Professional Services..., Customer Experience, Social Networking, Marketing Automation, Channel Partners, Product Launch, Business Intelligence, Product Management, Mobile Devices, Business Development, Agile Methodologies, Strategy, Program Management, Management Consulting, Microsoft CRM, Cloud Computing, Start-ups, Strategic Partnerships, Business Process, Product Marketing, Project Management, Integration, ERP, Pre-sales, Go-to-market Strategy, Mobile Applications, Management, SaaS, Sales Operations, Direct Sales, Leadership, B2B, Selling
Education
Arizona State University 1990 — 1992
MS
Mangalore University 1986 — 1990
BS
Delhi Public School - R. K. Puram 1984 — 1986
High School
Synopsys January 2014 - Present
Deloitte & Touche July 2011 - December 2013
Union Pacific Railroad January 2009 - July 2011
Kestrel Technologies June 2008 - September 2008
Skills
Unix, Perl, C++, Oracle, Active Directory, Microsoft SQL Server, Windows, LDAP, DB2, Sarbanes-Oxley, z/OS, IMS DB/DC, Operating Systems, Penetration Testing, Application Security
Education
NYU 2007 — 2008
MS, Telecommunication Networks
B. M. S. College of Engineering 2003 — 2007
BE, Telecommunications
Synopsys January 2008 - Present
Skills
Static Timing Analysis, Optimization, Primetime, Power Analysis, Formal Verification, UPF, Synopsys Primetime, Synopsys tools, EDA, Logic Synthesis, Verilog, Compilers, ASIC, Low-power Design, TCL
Education
International Institute of Information Technology 2006 — 2008
M.Tech, VLSI and Embedded Systems
Aligarh Muslim University 2002 — 2006
B.Tech, Electronics and Communications
Synopsys January 2014 - Present
Synopsys May 2009 - December 2013
MIPS Technologies January 2007 - May 2009
Chipidea Microelectronics July 2004 - December 2006
Nokia Siemens Networks August 2003 - July 2004
Skills
Verilog, Embedded Systems, VHDL, USB, I2C, ASIC, IC, Cross-functional Team..., FPGA, FPGA prototyping, Semiconductors, Microprocessors, Social Media Marketing, Digital Marketing, EDA, HDMI, AMBA, SDH, MIPI, SystemC, Labview, C++, TCL, Python, HTML, PHP, CRM, MS Project, SoC
Education
The University of Texas at Austin - Red McCombs School of Business 2013 — 2013
Course
Porto Business School 2012 — 2013
Master of Business Administration (MBA)
Faculdade de Engenharia da Universidade do Porto 2007 — 2009
Master
Goethe-Institut Porto 2000 — 2003
Faculdade de Engenharia da Universidade do Porto 1998 — 2003
Bachelor
Synopsys December 2005 - Present
Mokarabia January 2003 - February 2005
Skills
Data Center, Cisco Nexus, Cisco Technologies, Cisco IOS, OSPF, VoIP, Traffic Shaping, Riverbed, Solarwinds, Network Engineering, Switches, Routing, BGP, Infoblox, Routers, VPN, Bluecoat, Aruba Wireless, Network Architecture, TCP/IP, DNS, brocade VDX switches, Nagios, VMware ESX, LAN-WAN, Linux, Virtualization, Bash, Unix, Solaris, Juniper Technologies
Education
Stanford University 2015 — 2017
NDO Program, Advanced Project Management
Technische Universität Wien 2003 — 2005
incomplete, Civil Engineering
Urmia University of Technology 1999 — 2001
Engineer’s Degree, Network and Telecommunication
BAE Systems August 2015 - Present
7Cs Books August 2005 - Present
Synopsys June 1998 - December 2014
Hero Generation Commonwealth School June 2007 - June 2012
Hewlett-Packard June 1995 - June 1998
Skills
Verilog, TCL, Semiconductors, EDA, ASIC, VHDL, Microsoft Excel, C++, Perl, Compilers, Microsoft Word, Leadership, SystemVerilog, Logic Synthesis, USB, DFT, PCIe, FPGA, Team Leadership, Process Development, defect analysis, Design Compiler, DesignWare IP, PCI-Express, Photoshop, Design for Test, DFT Compiler, Scan, VCS, Writing, TetraMAX, Custom Designer, HSPICE, Clean Room Engineering, Business Analysis, Organizational Behavior, Supply Chain Management, Accounting, Managerial Finance, Managerial Economics, Picosatellite Design, Leadership Education, Digital System Design, Semiconductor..., Engineering Support, Strategic Management, Semiconductor Process..., Problem Solving, (T)CSH Scripting, Management
Education
Arizona State University, Ira Fulton College of Engineering 2012 — 2015
MSEE, ASIC Design, Semiconductor Device Physics, Graduate
Arizona State University, W. P. Carey School of Business 2012 — 2015
Master of Business Administration (MBA), Organizational Leadership, Graduate
Oregon State University 1992 — 1998
Bachelor of Science (B.S.), Computer Engineering, 3.6
Ricks College 1987 — 1988
AA, Accounting
Warrenton High School 1983 — 1987
Medium One October 2014 - Present
Peregrine Semiconductor (Acquired by Murata - December 2014) January 2013 - October 2014
Synopsys February 2012 - January 2013
Magma Design Automation (Acquired by Synopsys - 2012) July 2003 - February 2012
Aplus Design Technologies (Acquired by Magma Design Automation - 2003) November 2002 - July 2003
Celoxica May 2002 - November 2002
Actel Corporation (Acquired by Microsemi Corporation - 2010) January 1992 - July 2000
Texas Instruments August 1989 - January 1992
Texas Instruments May 1985 - August 1989
Texas Instruments June 1983 - May 1985
Skills
Sales Management, Applications Management, Channel Management, Strategic Planning, Mergers and Acquisitions, Account Strategy, Sales Operations, Mergers, Strategy, EDA, Product Marketing, Semiconductors, Start-ups, Management, Cross-functional Team...
Education
Amberton University
Master of Business Administration (MBA), Management
University of Missouri-Rolla
Bachelor of Science (BS), Computer Science
Synopsys Inc August 2012 - Present
Synopsys July 2011 - July 2012
Synopsys Inc September 2010 - June 2011
Virage Logic January 2000 - January 2011
Virage logic International 2000 - 2006
Virage Logic 2000 - 2006
Skills
Simulations, Verilog, CMOS, EDA, Functional Verification, Circuit Design, Signal Integrity, Physical Design, Embedded Systems, ASIC, IC, SoC, TCL, VLSI, SRAM, DRC, LVS, Physical Verification, Cadence Virtuoso, Primetime, SPICE, Parasitic Extraction, Power Management, Floorplanning
Education
Deendayal Upadhyay Gorakhpur University 1996 — 1999
MS, Electronics
Haywoodsoft LLC October 2009 - Present
synopsys May 2000 - October 2009
Skills
Debugging, Linux, Perl, Semiconductors, Software Development, Android Development, C, C, Java, Objective-C, OpenCV, Python, Qt, iOS Development
Education
Duke University
Master of Science (M.S.), Physics
Arizona State University
Bachelor of Science (B.S.), Physics
Teradyne August 2007 - Present
Synopsys 2004 - 2007
digital analytics 1997 - 2004
Motorola Solutions June 1995 - November 1997
Honeywell 1990 - 1995
Skills
SystemVerilog, AMBA AHB, Specman, NCSim, Functional Verification, PCIe, RTL coding, RTL design, SystemC, ASIC, FPGA, SoC, Verilog, Hardware, EDA, Hardware Architecture, RTL Design
Education
Arizona State University 1986 — 1990
bsee, engineering
Synopsys Inc May 2015 - Present
Keysight Technologies (split from Agilent Technologies) August 2014 - May 2015
Agilent Technologies February 2012 - July 2014
Accelicon Technologies (acquired by Agilent Technologies) January 2010 - February 2012
Synopsys April 2008 - December 2009
AMD September 2003 - April 2008
Georgia Institute of Technology May 2003 - August 2003
Korona Semiconductor (joint-stock company by Mikron and China Resources) March 1996 - November 1998
Mikron September 1995 - March 1996
Skills
Software Development, Semiconductor Device, Software Engineering, CMOS, Process Simulation, Linux, R&D, Simulations, EDA, Product Management, RF, ASIC, SoC, Verilog, Semiconductors, Analog Circuit Design, Mixed Signal, Characterization, Design for Manufacturing, Unix, Electrical Engineering, Perl, Python, Marketing Strategy
Education
Georgia Institute of Technology 1999 — 2003
Ph.D., MS, Microelectronics, VLSI, Computer Engineering
Saint Petersburg State Electrotechnical University "LETI" 1990 — 1996
MS, BS, Microelectronics, Dielectrics and Semiconductors
Irkutsk National Research Technical University 1989 — 1990
Podgotovitel'nei Fakul'tet
University of Science and Technology of China 1989 — 1989
Radio Electronics ('Department #6')
University of Portland October 2010 - Present
Seton Catholic College Preparatory High School February 2014 - Present
University of Portland August 2008 - October 2010
Easystreet Online Services February 2007 - June 2008
VMware September 2005 - February 2007
Brocade Communications June 2005 - September 2005
Brocade Communications January 2000 - May 2005
Archinetix Consulting April 1998 - January 2000
Synopsys 1993 - 1999
Hanna Andersson May 1991 - June 1992
Skills
Servers, Virtualization, Data Center, Enterprise Architecture, Program Management, Disaster Recovery, Cross-functional Team..., SaaS, Software Development, Process Improvement, Security, Enterprise Software, Information Technology, Business Development, Public Speaking, Project Planning, Cloud Computing, Managed Services, Databases, Start-ups, Team Building, Windows, Strategic Planning, VMware, Infrastructure, Strategic Partnerships, Management, IT Management, Team Leadership, Strategy, Service Delivery, Networking, Vendor Management, System Administration, Integration, Change Management, Product Management, Leadership, Business Strategy, Salesforce.com, Project Management, Storage, Linux, Business Intelligence, Technical Leadership, IT Strategy, Go-to-market Strategy, Unix, Data Analysis
Education
Evans School of Public Policy and Governance, University of Washington 2012 — 2014
Master of Public Administration (MPA)
Arizona State University 1976 — 1982
BS
Synopsys 2004 - Present
Magma Solutions January 2000 - September 2002
Intel September 1996 - January 2000
Compass Design Automation September 1993 - September 1996
Mentor Graphics August 1989 - September 1993
National Semiconductor June 1988 - August 1989
Xerox April 1987 - June 1988
Gould Electronics August 1984 - April 1987
Skills
C++, Management, Software Development, Linux, Integration, Semiconductors, Cloud Computing, Product Management, Software Engineering, Enterprise Software, Start-ups, Cross-functional Team..., SaaS
Education
Arizona State University, W. P. Carey School of Business
MBA
National Institute of Technology Warangal
BS
Southern Illinois University, Edwardsville
MS
University of California, Berkeley
Synopsys April 2010 - Present
CoWare August 2007 - March 2010
CoWare October 2004 - August 2007
Wind River February 2000 - October 2004
Wind River 1995 - 2004
Integrated Systems (Wind River) September 1995 - February 2000
ABB August 1994 - August 1995
Multiple Companies August 1990 - August 1994
Skills
Product Marketing, Product Management, Enterprise Software, Go-to-market Strategy, Strategic Partnerships, EDA, SaaS, Start-ups, Business Development, Semiconductors, Cross-functional Team..., Embedded Systems, Cloud Computing, Solution Selling, Professional Services, Strategy, Embedded Software, Software Development, Product Development
Education
Stanford University 2001 — 2001
AeA Executive Education
University of Washington 1992 — 1994
Master of Science
Ecole nationale supérieure d'Ingénieurs de Constructions aéronautiques 1989 — 1992
Engineering
VMware May 2015 - Present
VMware October 2013 - May 2015
WebEx August 2012 - September 2013
Cisco June 2010 - August 2012
Azul Systems July 2008 - June 2010
Spirent Communications January 2006 - July 2008
Synopsys March 2000 - December 2005
Telecruz August 1998 - February 2000
Acuson 1995 - 1998
Skills
Perforce, ClearCase, Compilers, Expect, Perl, TCL, Software Configuration..., Release Management, Scripting, Build Automation, Unix, Shell Scripting, TCP/IP, Quality Assurance, CVS, Solaris, Ant, Bash, Release Engineering, Unix Shell Scripting
Education
American Graduate University
Google October 2010 - Present
Cadence Design Systems October 2007 - October 2010
Synopsys March 2004 - September 2007
Yahoo! SDC 2003 - 2004
Yahoo! 2003 - 2004
Texas Instruments October 2000 - July 2001
Skills
JavaScript, Data Mining, Logic Synthesis, Low-power Design, Algorithms, Perl, Data Structures, TCL, EDA, C, Debugging, C++, Distributed Systems, Shell Scripting, Testing, Compilers, Operating Systems, Programming, Embedded Systems, Multithreading, Android
Education
Indian Institute of Science 2001 — 2003
ME, Computer Science
Bangalore University 1996 — 2000
BE, Computer Science
R. V. College of Engineering, Bangalore 1996 — 2000
BE, Computer Science
Xilinx June 2013 - Present
Altera April 2013 - June 2013
Synopsys September 2005 - April 2013
University of Cincinnati February 2001 - August 2005
Skills
ASIC, Algorithms, EDA, FPGA, Integrated Circuit..., Logic Synthesis, VLSI, Verilog, TCL, Agile Methodologies, Analog Circuit Design, Xilinx, Debugging, VHDL, C++
Education
University of Cincinnati 2000 — 2005
PhD, Computer engineering
American University of Beirut 1996 — 2000
BE, Computer and Communications Engineering
MaxLinear August 2013 - Present
Spectra 7 Microsystems June 2010 - July 2013
Microtune May 2010 - May 2010
Microtune September 2006 - May 2010
Digeo January 2003 - August 2006
cadence design systems March 2002 - December 2002
Symbionics 1999 - 2002
Tality 1999 - 2002
synopsys 1998 - 1999
Skills
ASIC, DSP, RF, Wireless, Broadcast, Signal Processing, System Architecture, Digital Signal..., FPGA, IC, Digital TV, OFDM, SoC, Digital Signal..., PHY, DOCSIS, Cross-functional Team..., VLSI, C, Processors, C, Simulations, System Design, Systems Engineering, Embedded Systems, Integrated Circuit..., Mixed Signal, Bluetooth, LTE, WiFi, RF Engineering, CMOS, Semiconductors
Education
Telecom ParisTech 1996 — 1997
mastere, VLSI
Institut national polytechnique de Toulouse 1995 — 1996
DEA, signal and image processing
ENSEEIHT - Ecole Nationale Supérieure d'Electrotechnique, d'Electronique, d'Informatique, d'Hydraulique et des Télécommunications 1993 — 1996
Engineering degree, signal and image processing
Synopsys August 2012 - Present
SpringSoft August 2012 - December 2012
Altera February 2006 - July 2012
Magma March 2005 - February 2006
LTX April 2002 - March 2005
STMicroelectronics August 2000 - December 2000
Memon Associates December 1998 - May 2000
Skills
FPGA, Business Development, EDA, ASIC, Cross-functional Team..., IC, Semiconductors, UVM/System Verilog, Project Management
Education
Arizona State University 2000 — 2002
MS, EE
NED University of Engineering and Technology 1994 — 1998
BE, EE
Adamjee Science College Karachi 1991 — 1993
High School, Mathematics and Statistics
Synopsys Inc October 2013 - Present
Synopsys September 2010 - September 2013
Virage Logic January 2010 - August 2010
IDT Inc April 2007 - August 2009
ARM January 2004 - April 2007
Artisan Components Inc September 2002 - December 2003
America West Airlines August 2000 - May 2002
Axes Technologies (I) Pvt Ltd February 2000 - June 2000
CGI July 1998 - January 2000
Skills
Characterization, ASIC, Verilog, memory compilers, standard cell library, Python, custom circuit design, TCAM, .lib, Semiconductors, IC, Integrated Circuit..., Circuits, Physical Design, EDA, Circuit Design, Debugging, Functional Verification
Education
Arizona State University 2000 — 2002
MSE, Solid state electronics
PSG College of Technology 1993 — 1998
BE, EEE
Qualcomm June 2015 - Present
Cadence Design Systems March 2013 - May 2015
Synopsys July 2008 - March 2013
Synopsys September 2001 - June 2008
Alcatel Business Systems September 1999 - September 2001
Alcatel 1998 - 2001
Skills
Static Timing Analysis, EDA, SoC, TCL, ASIC
Education
Institut supérieur d'Electronique de Paris 1994 — 1997
Ingineer, Micro-electronic
Intel Corporation June 2014 - Present
Intel Corporation April 2010 - June 2014
TechForce Inc SanJose CA, USA January 2006 - May 2010
Agere 2003 - 2005
Wipro Technologies September 2002 - October 2003
Synopsys August 2000 - July 2002
Skills
Physical Design, Static Timing Analysis, Timing Closure, SoC, Primetime, VLSI, TCL, Clock Tree Synthesis, DRC, Low-power Design, LVS, Place & Route, Timing, Debugging, Cadence, Verilog, ASIC, RTL Design
Education
Nagarjuna University 1997 — 2000
Bachelor of Technology (BTech), Electronics & Communication
Govt Polytechnic college, Warangal. 1993 — 1996
Diploma in Electronics and Communication, Electrical, Electronics and Communications Engineering
Texas Instruments May 2008 - Present
Synopsys June 2007 - May 2008
Texas Instrument/Semiconductor Business April 1998 - May 2007
Education
The University of Texas at Dallas 2001 — 2004
King Mongkut's Institute of Technology Ladkrabang 1992 — 1996
BS, Applied Physics
Omnicell March 2013 - Present
Corsair Memory September 2011 - March 2013
Synopsys May 2008 - January 2012
Ask.com October 2007 - May 2008
Nortel 2000 - October 2007
Nortel November 1999 - July 2000
Visual Soft, India February 1998 - February 1999
Skills
SharePoint Designer, SharePoint, ASP.NET, Microsoft SQL Server, C#, Web Services, IIS, Oracle, JavaScript, CSS, HTML, Microsoft Office..., .NET, Web Applications, Business Intelligence
Education
Avinashilingam Institute for Home Science and Higher Education for Women 1995 — 1998
Mater of Computer Science, Computer Science
Synopsys Director of EngineeringSynTest Technologies2002 - 2006
Cirrus Logic June 1996 - December 2000
Education
Stanford University 1993 — 1996
Jami'at Al-Ulum wa Al-Tiknolojia Al-Urdunia 1988 — 1992
BSEE, Electrical / Computer Engineering
Wagbagzzz pet beds October 2013 - Present
Self Employed May 2010 - Present
Synopsys 1998 - 2003
OddzOn April 1998 - August 1998
Cost Plus World Market April 1997 - August 1997
Skills
Layout, Graphic Design, Advertising, Graphics, Web Design, Trade Shows, User Experience, Adobe Creative Suite, Brochures, Collateral, Corporate Identity, Direct Mail, Email Marketing, Logo Design, Marketing, Packaging
Education
Academy of Art University 1994 — 1996
BFA, Advertising Design
Foothill College 1989 — 1992
AA
Synopsys 2012 - Present
RSoft Design Group October 2001 - May 2012
Glow Networks 2000 - 2001
ETISALAT 1998 - 2000
WS Telesystems 1995 - 1997
Skills
J2EE Web Services, Java, Graph Theory, Python, Software Development, System Architecture, Telecommunications, Linux, Agile Methodologies, C++, Programming, Embedded Systems, Testing, Wireless, Product Development, C, Product Management, Integration, Requirements Analysis, Cloud Computing, Simulations, Algorithms, Fiber Optics, Unix, Software Project..., SQL, Windows, Team Leadership, Systems Engineering, Perl, IP, TCP/IP, Semiconductors, Visio, Optics, Signal Processing, Oracle, Agile, Customer Relations, Simulation, SDLC, Architecture, C#, XML, HTML, SDH, VoIP, SaaS, UML, R&D
Education
Pace University 2005 — 2007
Master of Science, IT, 3.92/4
Amravati University
Bachelor of Engineering, Electronics and Communication
Cadence Design Systems February 2015 - Present
Tabula November 2012 - February 2015
Samsung Semiconductor May 2011 - November 2012
Synopsys June 2006 - May 2011
University of South Florida January 2002 - May 2006
HCL Technologies June 2000 - July 2001
Skills
EDA, Static Timing Analysis, Circuits, VLSI, ASIC, Algorithms, Signal Integrity, TCL, Physical Design, Verilog, FPGA, SoC, Timing Closure, RTL design, RTL Design, Semiconductors
Education
University of South Florida 2003 — 2006
Ph.D., Computer Engineering
University of South Florida 2001 — 2003
M.S, Computer Engineering
Osmania University 1996 — 2000
Bachelor of Engineering, Electronics and Communications
Atomic Energy Central School 1984 — 1994
Synopsys August 2005 - Present
Synopsys April 2003 - August 2005
Synopsys April 2001 - March 2003
Synopsys June 1998 - January 2001
Synopsys April 1996 - June 1998
EMC2 June 1995 - April 1996
EMC2 December 1994 - May 1995
Copernique September 1991 - December 1994
NT Systems April 1989 - September 1991
Intertechnique-IN2 September 1984 - April 1989
Skills
ASIC, SoC, ICC, PNR, Static Timing Analysis, EDA, Leadership, VLSI, TCL, Physical Design, RTL design, Timing Closure, Logic Synthesis, Physical Verification, Primetime, IC, Integrated Circuit..., DRC, Floorplanning, DFT
Education
Institut supérieur d'électronique et du numérique 1977 — 1983
Synopsys January 1999 - Present
Adaptec October 1997 - January 1999
National Semiconductor April 1996 - October 1997
Cirrus Logic February 1995 - April 1996
Pico Power April 1993 - February 1995
SMOS June 1989 - March 1993
Skills
Verilog, ASIC, EDA, Debugging, IC, Static Timing Analysis...
Education
Berkeley College 1987 — 1989
BS, EECS
Shanghai Second Medical University
Innovators for Purpose August 2013 - Present
Time & Money Group Biz Consulting January 2014 - Present
The Time & Money Group Investments March 2006 - December 2014
Synopsys 2000 - 2006
Synopsys 1999 - 2000
IBM 1998 - 1999
Synopsys 1996 - 1997
Synopsys 1994 - 1995
Raytheon 1992 - 1994
Interactive Circle 1991 - 1992
Skills
Strategy, Entrepreneurship, Business Development, Leadership, Business Strategy, CRM, Sales, Program Management, Problem Solving, Design Thinking, Non-profit..., Solution Selling, Marketing, Product Development, Marketing Strategy, Mobile Devices, Start-ups, New Business Development, Account Management, Sales Process
Education
Babson College - Franklin W. Olin Graduate School of Business
Master of Business Administration (MBA), Business Administration and Management, General
University of South Florida
Master's degree, Electrical and Electronics Engineering
Mentor Graphics April 2014 - Present
Mentor Graphics April 2011 - March 2014
Synopsys July 2007 - April 2011
Stratosphere Solutions January 2007 - July 2007
CSE Dept, Arizona State University January 2005 - December 2006
Sun Microsystems June 2003 - November 2003
Mindtree Consulting June 2000 - December 2000
Skills
Algorithms, C++, EDA, Static Timing Analysis, Physical Design, Verilog, ASIC, SoC, SystemVerilog, Low-power Design, Statistics, VLSI, RTL design, Machine Learning, Functional Verification, Formal Verification, Logic Synthesis, IC, Optimization
Education
Arizona State University 2004 — 2006
Ph.D., Electrical Engineering
University of Arizona 2001 — 2004
M.S., Electrical and Computer Engineering
Indian Institute of Technology, Delhi 1996 — 2000
B.Tech., Electrical Engineering
Mount Carmel School
High School
Synopsys December 2007 - Present
Intel Corporation November 2005 - December 2007
Synopsys March 2005 - November 2005
Startup April 2003 - March 2005
Skills
EDA, Static Timing Analysis, Signal Integrity, TCL, ASIC, Verilog, SoC, VLSI, Physical Design, SystemVerilog, Timing Closure, RTL design, Debugging, IC, Formal Verification
Education
Arizona State University 2001 — 2003
electrical engineering
Synopsys February 2012 - Present
Magma Design Automation March 2008 - February 2012
Texas Instruments August 2004 - March 2008
Zenasis Technologies June 2004 - August 2004
Skills
Clock Tree Synthesis, Algorithms, Physical Design, Static Timing Analysis, Primetime, Timing Closure, EDA, Machine Learning, High Performance..., Low-power Design, VLSI, ASIC, SoC, Verilog, RTL design, TCL, SPICE, Compilers
Education
The University of Texas at Austin 2004 — 2008
PhD, Computer Engineering
Texas A&M University 2002 — 2004
M.S., Computer Engineering
Anna University 1998 — 2002
B.E, Electrical & Electronics Engineering
Sri Sankara Vidyalaya 1985 — 1998
PricewaterhouseCoopers August 2000 - Present
Synopsys August 2009 - August 2010
Arthur Andersen August 1998 - August 2000
Skills
Tax, Corporate Tax, Tax Accounting, Tax Research, Accounting, CPA, US GAAP, Tax Advisory, Financial Reporting, Auditing, GAAP, Big 4, Tax Law, Tax Preparation, Financial Accounting
Education
American University
Bachelor of Science (B.S.), Accountancy
American University - Kogod School of Business
Bachelor of Science (B.S.), Accountancy
Public sector client March 2012 - Present
LAUSD December 2007 - Present
NVIDIA November 2006 - December 2007
Deloitte Consulting October 2005 - November 2006
Synopsys April 1999 - September 2005
DataEdge Inc. September 1997 - March 1999
Process company October 1994 - August 1997
Skills
SAP, SAP ERP, SAP HR, ABAP, ERP, SAP BI, SAP R/3, Business Process, SAP BW, BAPI, SAP Netweaver, SAP Portal, SAP Implementation, WebDynpro, Testing, HRIS, SD, Requirements Analysis, Data Migration
Education
Andhra University
Bachelors of Engineering
Synopsys April 2000 - Present
Realchip Communications Inc April 1997 - March 2000
Indchem Group November 1994 - March 1997
Skills
Verilog, EDA, Static Timing Analysis, SoC, TCL, IC, Debugging, ASIC, Semiconductors, RTL design, VLSI, Embedded Systems
Education
Sri Venkateswara College
Bachelor’s Degree, Electrical, Electronics and Communications Engineering, I class
Synopsys April 2003 - Present
Synopsys December 1996 - October 2001
TCSI - Teknekron Communications Systems August 1991 - December 1996
IBM - TJ Watson Research Center October 1989 - July 1991
Education
Syracuse University 1988 — 1989
Masters, Computer Engineering
American University of Beirut 1983 — 1987
Bachelor of Science, Electrical Engineering
International College
Synopsys September 2014 - Present
Skills
HRIS, Employee Relations, Training, Recruiting, Human Resources, Performance Management, Employee Benefits, Outlook, Customer Service, Employee Training, Temporary Placement, Management, Time Management, Onboarding, Applicant Tracking..., Project Management, Employment Law
Education
Golden Gate University 2009 — 2010
Masters, Human Resources
San Jose State University 2006 — 2008
B.S. in Business Administration, Concentration in Human Resources Management
San Jose City College 2003 — 2004
A.S. Cosmetology, Cosmetology
Mission College 2001 — 2003
A.A. General Education
Synopsys June 2004 - Present
Skills
EDA, Algorithms, TCL, C++, C, Linux, Software Engineering, Unix, Software Development, Java, Debugging, SQL, Distributed Systems
Education
Alabama State University 2000 — 2004
Intel October 2014 - Present
Aleatoric Art January 1999 - Present
PDX Code Guild January 2014 - June 2014
UPS November 2013 - December 2013
Intel Corporation April 2007 - June 2013
University of Phoenix February 2003 - August 2007
Intel January 2006 - December 2006
Tripwire August 2005 - September 2005
Oregon Potters Association 1999 - 2004
Synopsys March 1998 - February 2002
Skills
C, Writing, Mathematics, Public Speaking, Tutoring, Systems Analysis, Lecturing, Classroom Instruction, Lesson Planning, System Architecture, Artificial Intelligence, Testing, Simulation, Unix, Documentation, Linux, Software Development, Windows, Software Engineering, Problem Solving, Quality Assurance, Program Management, Software Documentation, Device Drivers, Embedded Systems, Algorithms, IC, C++, VHDL, Verilog-AMS, SPICE, Unix Shell Scripting, Microsoft Office 2007, Mixed-Signal IC Design, Circuit Simulators, Compilers, Debugging, Software Quality..., University Teaching, RTL design, TCL, Simulations, Processors, SystemVerilog, SoC, YACC / Lex, Microprocessors, Classroom, Java, Verilog
Education
Portland Community College 1990 — 2002
Ceramics, Data Base Mgt., misc.
Ball State University 1983 — 1984
Vocal Music
University of Wisconsin-Madison 1976 — 1979
M.S., Computer Science / Cognitive Sciences
Knox College 1972 — 1976
BA, computer science & math
PDX Code Guild
Westdent & TR Dental January 2006 - Present
USA Self Employed January 2002 - January 2006
Voci Corp August 2000 - March 2001
General Magic January 2000 - August 2000
Synopsys 1997 - 2000
Motorola August 1993 - February 1997
American Express April 1993 - August 1993
GTX Corporation February 1990 - April 1993
BHEL August 1984 - August 1987
Education
Keller Graduate School of Management, Mesa, Arizona 1993 — 1995
Master of Business Administration (MBA), Management
Arizona State University 1987 — 1990
Master of Science (M.S.)
Indian Statistical Institute 1985 — 1986
management, Management Course By BHEL
Maulana Azad National Institute of Technology 1979 — 1984
BE, Mechanical Enginnering
Model Multipurpose High School 1978 — 1979
HSSC, Math Science
Synopsys September 2010 - December 2010
Virage Logic July 2008 - September 2010
Virage Logic May 2005 - July 2008
Skills
Deferred Compensation, Microsoft Word, Recruiting, Onboarding, Employee Relations, Human Resources, Performance Management, HRIS, Applicant Tracking..., Training
Education
Lyceum Northwestern University 1981 — 1984
BSBA, Major in Accounting
SYMPHONY CONSULTING, INC June 2013 - Present
City of Mountain View Performing Art Committee 2009 - 2010
Jasper Design Automation September 2008 - November 2009
Synopsys 2000 - 2003
Synopsys 1998 - 2000
Quality Semiconductor (now IDT) 1994 - 1998
Skills
Verilog, Integrated Circuit..., EDA, SystemVerilog, VHDL, ASIC, Semiconductors, SoC, Formal Verification, Low-power Design, Functional Verification, Product Management, Testing, Unix, RTL design, Debugging, Management, Embedded Systems
Education
San Diego City College 2004 — 2006
Certificate of Achievement
University of California, Berkeley 1990 — 1994
EECS
Academy of Art University
Certificate of Completion
Richland College August 2010 - Present
Public Semiconductor Company October 2008 - October 2010
Synopsys March 2006 - May 2007
Synopsys December 2005 - March 2006
HPL March 2002 - December 2005
TestChip Technologies, Inc. August 1998 - March 2002
Education
University of Texas at Dallas - Naveen Jindal School of Management 2006 — 2010
M.B.A.
University of Oklahoma 1992 — 1998
Ph.D., Physics, Solid State
Augustana University (SD) 1988 — 1992
B.A., Engineering Physics
Synopsys June 2010 - Present
Magma Design Automation August 2001 - May 2010
Avant! May 2000 - September 2001
Duke University Medical Center February 1999 - May 2000
Triangle Universities Nuclear Laboratory July 1994 - February 1999
Skills
DRC, TCL, EDA, Perl, Physical Verification, Physical Design, ASIC, Timing Closure, Linux, Scripting, Static Timing Analysis, Semiconductors, VLSI, SoC, Verilog, IC, Debugging, Integrated Circuit...
Education
North Carolina State University 1994 — 1999
Ph.D.
Ball State University 1989 — 1994
B.S.
Upwork Senior Software EngineerElance-oDeskJuly 2013 - Present
eBay April 2012 - July 2013
Pervasive technology institute Indiana University January 2012 - April 2012
Synopsys June 2011 - August 2011
Indiana University January 2011 - May 2011
Office of Creative Services, Indiana University September 2010 - December 2010
IBM December 2008 - July 2010
Skills
Java, C++, MySQL, PHP, C, Hadoop, JavaScript, Distributed Systems, JSP, Python, jQuery, Java Enterprise Edition, HTML, Maven, Spring, Programming, Perl, JSON, OOP, Scalability, AJAX, Unix, Hive, Web Development, CSS, SOA, Sqoop, Agile Methodologies, Software Engineering, MapReduce, REST, Spring Framework
Education
Indiana University Bloomington 2010 — 2011
MS, Computer Science
Anna University 2004 — 2008
Bachelor of Engineering (B.Eng.), Computer Science
The Metamorphosis Group, Inc. July 2014 - July 2014
HRL Laboratories, LLC June 2013 - January 2014
Productions December 2009 - January 2012
SAG-AFTRA October 1999 - March 2009
Boeing November 2003 - August 2008
Raytheon February 2003 - November 2003
Boeing September 2001 - February 2003
Synopsys July 2000 - March 2001
Raytheon February 1997 - March 2000
Texas Instruments September 1995 - February 1997
Skills
Electronics, Matlab, Engineering, Antennas, Testing, Systems Engineering, Modeling, Microsoft Office, Unix Shell Scripting, MS Office Suite, Simulation, Antenna Design, Software Integration, systems, Algorithm Design, Algorithm Optimization, Algorithm Development, Genetic Algorithms, Pattern Recognition, ISR, Time-frequency Analysis, Electromagnetic..., Digital Image Processing, Digital Signal..., Requirements Analysis, Requirements Gathering, System Requirements, Software Requirements, Software Development..., Software Development..., R&D, Embedded Systems, Management, Sensors, Integration, Software Engineering, LabVIEW, Vendor Management, Aerospace, Networking, RF, Digital Signal..., Leadership, Signal Processing, Algorithms, Radar, C
Education
National Technological University 1994 — 1997
MS, EE
Arizona State University 1982 — 1987
BS, EE
Glendale Community College 1979 — 1981
Associate of Arts (AA), Photography and Art, B
Synopsys October 2004 - June 2011
synopsys 2004 - 2009
Texas A&M University June 2003 - December 2003
Education
Texas A&M International University 2002 — 2004
M.S.
Anna University 1998 — 2002
BE, Electrical and Electronics
Broadcom January 2011 - Present
Synopsys December 2008 - January 2011
Prodesign Electronics Corporation 2005 - 2008
National Semiconductor 2002 - 2006
MTS 1989 - 1993
Skills
ASIC, EDA, Static Timing Analysis, TCL, Circuit Design, Emulation, Integrated Circuit..., RTL design, SoC, SystemVerilog, Timing Closure, Logic Synthesis, Wireless, Debugging, FPGA prototyping, Semiconductors, Verilog
Education
Hochschule Augsburg 1997 — 2002
Graduate Engineer, Communication Electronics
Synopsys July 2004 - Present
Cameron Health November 2001 - July 2004
Texas Instruments October 1995 - October 2001
Skills
Physical Design, Static Timing Analysis, Logic Synthesis, Low-power Design, ASIC, SoC, Timing Closure, EDA, Verilog, VLSI, IC, RTL design, Debugging, Integrated Circuit..., SystemVerilog, TCL
Education
Arizona State University 1990 — 1995
MSEE, Electrical Engineering
Arizona State University 1990 — 1995
BSEE, Electrical Engineering
Synopsys March 2012 - Present
Magma Design Automation July 2007 - May 2012
Magma Design Automation May 2004 - July 2007
iRoC Technologies 2000 - 2004
INRIA 1999 - 2000
Simon Fraser University 1998 - 1998
INRIA 1996 - 1997
Skills
VLSI, TCL, R&D, Static Timing Analysis, ASIC, EDA, SoC, Verilog, Algorithms, Software Engineering, Semiconductors, Physical Design, Embedded Systems, Product Development, C++, IC, Software Development, Integrated Circuit..., Linux, Perl, Debugging, Product Management, Mixed Signal
Education
Institut polytechnique de Grenoble 1991 — 1995
Ph.D., Computer Science and Robotics
Institut polytechnique de Grenoble 1990 — 1991
MS, Computer Science
Université de Tunis 1986 — 1990
Engineering Diploma, Computer Science
Université de Tunis 1984 — 1986
DUES, Mathematics and Physics
Lycee Montfleury 1977 — 1984
Baccalauréat, Mathematics and Sciences
Ecole primaire, 8 rue Ali Trad
Institut polytechnique de Grenoble
Synopsys December 2013 - Present
INPHENIX, Inc. August 2012 - November 2013
Skills
Verilog, VHDL, Agilent ADS, Cadence Virtuoso, Microsoft Office, C++, Circuit Design, Simulink, FPGA, VLSI, C, NI LabVIEW, Chinese, HSPICE, Linux
Education
University of Florida 2010 — 2012
Master, Electrical Engineering, 3.62
Arizona State University 2009 — 2010
Exchange Student Program, Electrical Engineering, 3.87
Huazhong University of Science and Technology 2006 — 2010
Bachelor of Science (B.S.), Electronics and Information Engineering, 83.07
International Technological University
International Technological University
Synopsys May 2005 - Present
Rapidigm 2005 - 2005
Hexaware Technologies 2004 - 2005
Keane India 2003 - 2004
SISL 2001 - 2003
Skills
SAP HR, ABAP, SAP, SAP ERP, SAP Netweaver, SAPScript, SAP Implementation, Smartforms, ERP, SAP BI, BAPI, SaaS, SAP Portal, Personnel Management, WebDynpro, SAP R/3, IDoc, SAP CRM, Success Factors..., Success Factors LMS, Success factors..., IBM Brassring(ATS), ADP Payroll, Ceridian Payroll, SAP Expense Management, Dell Boomi, Success Factors -..., Success Factors Time off
Education
Nagpur University 1996 — 1998
Master’s Degree, Computer Software Engineering
Acharya Nagarjuna University 1992 — 1995
Bachelor’s Degree, Mathantics
Board of Intermediate Andhra pradesh 1990 — 1992
Intermediate Education (11th and 12th), Mathematics Physics and Chemistry
SPS High School 1986 — 1990
High School
PayPal August 2010 - Present
DFMSim, Inc December 2008 - January 2010
Synopsys January 2006 - November 2007
HPL Technologies Inc. October 1999 - January 2006
KLA-Tencor March 1996 - October 1999
VLSI Technology 1994 - 1996
Siemens July 1991 - September 1994
Skills
Semiconductors, Product Management, Global Business..., Embedded Systems, Mobile Payments
Education
Santa Clara University 1995 — 1998
Master of Business Administration (MBA), Marketing and International Business, 3.5/4.0
Louisiana Tech University 1989 — 1991
Master of Science (MS), Electrical and Electronics Engineering, 4.0/4.0
Anna University 1984 — 1988
Bachelor of Engineering (B.E.), Electrical and Electronics Engineering
Google 2004 - Present
Synopsys 2001 - 2003
Lucent Technologies 1998 - 2001
Skills
Corporate Security, Computer Security, Information Security, Vulnerability Assessment, Security Audits, Program Management, Penetration Testing, Security Management, Crisis Management, Distributed Systems, PCI DSS, Physical Security, Information Security..., Leadership, Risk Assessment, Computer Forensics, Cloud Computing
Education
American Public University System
M.A., Security Management
Northern Illinois University
B.S., Engineering
Qualcomm June 2014 - Present
Consultant at Qualcomm through SmartPlay Inc December 2012 - May 2014
Intel Corporation March 2011 - October 2012
Synopsys December 2008 - February 2011
Insilica July 2004 - November 2008
Skills
ASIC, VLSI, Physical Design, SoC, Static Timing Analysis, TCL, Verilog, Timing Closure, EDA, Logic Synthesis, Primetime, Low-power Design, DRC, Floorplanning, Integrated Circuit..., Semiconductors, IC
Education
Arizona State University 2001 — 2003
M.S.E.E., VLSI DESIGN
Toshiba America Electronic Components, Inc. June 2013 - Present
Taos September 2010 - Present
Restoration Hardware November 2011 - June 2013
Riverbed Technology September 2010 - August 2011
Chordiant Software April 2008 - August 2010
GoldenGate Software June 2002 - April 2008
Synopsys April 2001 - June 2002
Taos August 2000 - March 2001
eSignal October 1998 - July 2000
Skills
Cloud Computing, Virtualization, SaaS, Enterprise Architecture, Disaster Recovery, High Availability, Linux, Integration, Databases, ITIL, Enterprise Software, IT Strategy, VMware, Cisco Technologies, Network Security, Unix, Security, Solaris, Networking
Education
University of the Philippines 1992 — 1997
Bachelors, Zoology
AMA University 1997 — 1998
Undergrad, Computer Science
Google March 2015 - Present
Intel Corporation October 2011 - February 2015
University of Toronto 2005 - July 2011
University of Toronto September 2005 - May 2011
Synopsys 2010 - 2010
California Center for Innovative Transportation June 2004 - August 2004
Skills
Computer Architecture, Algorithms, Data Structures, Statistical Modeling, Static Timing Analysis, C++, Perl, Tcl, Lex/Yacc
Education
University of Toronto 2007 — 2011
PhD, Computer Engineering
University of Toronto 2005 — 2007
MASc., Computer Engineering
American University of Beirut 2001 — 2005
BE, Computer and Communications Engineering
Calypto Design Systems August 2005 - Present
Synopsys February 2005 - July 2005
nSys Design Systems January 2002 - January 2005
Skills
Debugging, EDA, C++, VHDL, ASIC, SystemVerilog, TCL, Verilog, Formal Verification, Algorithms
Education
North-Eastern Hill University
Synopsys January 2013 - Present
Encore Payment Systems August 2012 - December 2012
Ryder System, Inc. August 2011 - August 2012
Synopsys June 2011 - August 2011
University of Texas at Dallas January 2011 - July 2011
Chrysalis Jewelry August 2010 - December 2010
Cognizant Technology Solutions November 2007 - July 2010
Skills
SAP BW, SAP BI, SAP CRM, SAP ERP, SAS70, Microsoft Excel, Oracle SQL, Toad 9.0, Data Analysis, Reports, Integration of busiess..., ERP, BMC Remedy, COBOL, JCL, M204, IMS DB/DC, IBM Mainframe, MySQL, XLMiner, VSAM, SAP Netweaver, SQL, Oracle, DB2, Databases, Requirements Gathering, Access, Visio, PL/SQL, Data Migration, Business Analysis
Education
The University of Texas at Dallas - School of Management 2010 — 2012
Master's degree, Information Technology and Management, 3.74
Anna University 2003 — 2007
B.E, Electronics and Communication Engineering
Sindhi Model Senior Secondary School 1998 — 2001
Central Board Secondary Exam
Micorsoft August 1997 - October 2006
Synopsys August 1992 - June 1996
Education
University of East-West Medicine 2007 — 2010
MS, Traditional Chinese Medicine
San Jose State University 1981 — 1983
MS, Computer Science
Infor April 2009 - Present
Sage August 2008 - Present
Autodesk June 2008 - Present
Intuit June 2008 - Present
Ubisoft June 2008 - Present
Apple Inc. May 2008 - Present
Synopsys April 2008 - Present
General Electric March 2008 - Present
Salesforce.com September 2007 - Present
NCR Corporation June 2007 - Present
Education
Baker University
M.S.C.S., Computer and Network security
Synopsys 2012 - Present
Magma Design Automation March 2007 - Present
Magma 2007 - Present
Cadence Design Systems July 1998 - March 2007
Cooper & Chyan Technology July 1995 - July 1998
Synopsys December 1993 - July 1995
Arcsys, inc July 1992 - December 1993
Arcsys, inc December 1992 - March 1993
LSI Corporation July 1990 - July 1992
Skills
ASIC, TCL, SoC, Algorithms, EDA, Linux, C++, C, Perl, Debugging, Software Engineering, Embedded Systems, Python, Software Development, Verilog, Simulations
Education
Syracuse University 1988 — 1990
Master of Science, Computer Engineering
National Chiao Tung University 1982 — 1986
Bachelor of Science, Computer Engineering
Chien Kuo highschool 1979 — 1982
Diploma
Austin Community College
Synopsys May 2011 - Present
Intel Corporation July 2005 - August 2010
Kansas State University August 2000 - May 2005
Skills
Algorithms, Optimizations, Optimization, Mathematical Modeling, Programming, Semiconductors, Operations Research, Linear Programming, Simulations, C, Software Development, Discrete Event..., Verilog
Education
Kansas State University 2000 — 2005
PhD, Industrial Engineering -- Specializing in Operations Research, Optimization
Baker University 1996 — 2000
BA, Mathematics, Philosophy
ANSYS, Inc. March 2015 - Present
San Jose State University September 2014 - December 2014
Qualcomm July 2011 - August 2012
Quantum Think Technologies March 2011 - July 2011
Synopsys March 2008 - February 2011
Skills
Verilog, EDA, C++, VHDL, Physical Design, System Verilog, Redhawk, RTL Design, Logic Synthesis, Integrated Circuit..., ASIC, SystemVerilog, Cadence Virtuoso, TCL, Static Timing Analysis, VLSI, Perl
Education
San Jose State University 2013 — 2014
Master's Degree, Electrical Engineering
Bangalore Institute Of Technology 2003 — 2007
Bachelor's Degree, Electrical, Electronics and Communications Engineering
Synopsys December 2012 - Present
SpringSoft January 2010 - December 2012
SpringSoft January 2001 - January 2010
Synopsys January 1993 - January 2001
Skills
EDA, Integrated Circuit..., Management, Debugging, Go-to-market Strategy, VLSI, ASIC, Verilog, VHDL, Simulations, Semiconductors, SoC, Product Marketing, Enterprise Software, Program Management, Product Management, IC
Education
University of Southern California 1988 — 1989
Master, Computer engineering
Chang Jung Christian University
Synopsys April 2012 - Present
Magma Design Automation January 2012 - March 2012
Hewlett-Packard Laboratories November 2009 - January 2012
University of Maryland September 2009 - October 2009
Education
University of Maryland College Park 2001 — 2009
Ph.D., Electrical and Computer Engineering
University of Maryland College Park 1999 — 2001
M.Sc., Electrical and Computer Engineering
Alexandria University 1992 — 1997
B.Sc., Electrical Engineering
Synopsys June 2001 - Present
LSI Corporation December 1996 - May 2001
Skills
Linux, C++, Static Timing Analysis, Debugging, Algorithms, Software Development, Verilog, SoC, EDA, Architectures, High Performance...
Education
Florida State University 1986 — 1990
PhD, Mathematics
Univerzitet Crne Gore 1981 — 1984
BSc, Mathematics
Thetus Corporation June 2015 - Present
Tripwire January 2015 - June 2015
Janrain, Inc. July 2014 - January 2015
Synopsys September 2012 - December 2012
Skills
Linux, Cisco Technologies, Python, C++, C, Bash Scripting, Quality Assurance, TCP/IP, Virtualization, VMware, CCNA, VPN, DNS, Switches, Bash, Software Development, Perl, Unix, Programming, Java, REST, Windows
Education
Portland State University 2012 — 2016
Master's degree, Computer Science, 3.91
Russian New University
Synopsys March 2013 - Present
Agilent Technologies October 2010 - December 2012
Varian, Inc. October 1986 - October 2011
Raytheon March 1979 - October 1986
US Navy April 1975 - January 1979
Skills
Microsoft Office, Outlook, Organizational Support, Administrative Assistant, Calendar Planning, Coordination Skills, Highly-organized, Interact with All..., Handle Multiple..., Adapt Quickly to Change, Work Without Supervision, Independent Self-starter, Written & Verbal..., Office Equipment..., Resourceful..., Multi-tasked, Heavy Calendaring, Conference Logistics, Venue Search, MRI, R&D, Project Management, Management, PowerPoint, Cross-functional Team..., Lifesciences, Semiconductors, Software Documentation, Problem Solving, Administrative..., Electronics
Education
Mission College,Santa Clara, California
Computer Applications, Computer Applications
Mission College, Santa Clara, California 1983 — 1987
Computer Aoplications, Computer Applications
Columban College - Olongapo City 1975 — 1979
Associates Degree
Synopsys April 2005 - Present
Nassda April 2002 - April 2005
Motorola January 1999 - April 2002
Skills
C, C++, Embedded Systems, Debugging, Testing, Linux, Software Development, Semiconductors, Electronics
Education
Arizona State University 1994 — 1998
BSEE, Electrical and Electronics Engineering
Ira A. Fulton Schools of Engineering at Arizona State University 1993 — 1998
BSEE, Semiconductor