ASML Company
Industry: Semiconductor company
DescriptionHeadquarters: Veldhoven, Netherlands Number of employees: >19,200 (2017) CEO: Peter Wennink (1 Jul 2013–) Founded: 1984, Eindhoven, Netherlands Subsidiaries: Cymer, Hermes Microvision, Inc., Founders: Philips, ASM International
ASML List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at ASML. You can filter them based on skills, years of employment, job, education, department, and prior employment.
ASML Salaries. You can even request information on how much does ASML pay if you want to. Learn about salaries, pros and cons of working for ASML directly from the past employees.
Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!
1,130 ASML employees in database. Find out everything there's to know about ASML employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.
ASML Employees
Children of Grace January 2015 - Present
The Vision Catalyst Group January 2006 - Present
The Angel Tree Youth Football Clinic at Stanford University May 2006 - Present
Fathers and Families Coalition of America October 2012 - Present
Serving California Foundation February 2014 - July 2014
Prison Fellowship January 2000 - September 2013
The ARC Project (Arizona Reentry Careers* an initiative of CCTP) July 2008 - August 2011
Arizona Saves, Inc. January 2007 - September 2010
ASML 1995 - 1997
Entegris 1985 - 1995
Skills
Executive Management, Public Speaking, Building Relationships, Staff Development, Staff Management, Program Development, Motivational Speaking, Volunteer Recruiting, Volunteer Management, Leadership Development, Strategic Leadership, Strategic Planning, Collaboration, Board Development, Executive Coaching, Mentoring, Prisoner Reentry, Youth Empowerment, Youth Development, Executive Development, Community Outreach, Leadership, Community Development, Fundraising, Team Building, Program Management, Non-profits, Coaching, Entrepreneurship, Training, Management, Conflict Resolution, Project Planning, Organizational..., Non-profit..., Nonprofits, Recruiting, Teaching, Budgets, Grants, Workshop Facilitation, Policy, Program Evaluation, Grant Writing, Research, Government, Career Counseling, Public Policy, Public Relations, Event Management
Education
Stanford University 1975 — 1979
BA, Communication
El Cerrito High School 1972 — 1975
Diploma, College/University Preparatory and Advanced High School/Secondary Diploma Program
ASML March 2010 - Present
Skills
Semiconductors, Semiconductor Industry, Supply Management, Supply Chain Management, Electronics, Manufacturing, Strategic Sourcing, Lean Manufacturing, SPC, MRP, Metrology, Supply Chain
Education
State University of New York College at Plattsburgh 2005 — 2009
Bachelor of Science (BS), Global Supply Chain Management, & Business Management
ASML November 2013 - Present
Northrop Grumman Corporation 2003 - September 2013
Dion Software @ UTC Hamilton-Sundstrand 2002 - 2003
Kenetec (telecom startup) 2000 - 2002
Norden Systems 1980 - 2000
Skills
Embedded Systems, Software Engineering, VxWorks, Software Design, Software Development, Embedded Software, ClearCase, Linux, Telelogic DOORS, Radar, Device Drivers, Systems Engineering, C, Debugging, C++, System Design, MIL-STD-1553, RTOS, ClearQuest, Testing, Requirements Management, Object Oriented Design, Simulations, Embedded Real-time..., PowerPC, Unix, Integration, TCP/IP, Programming..., Programming, Visual C++, Embedded C, Security Clearance, C#, Tornado, Python
Education
Rensselaer Polytechnic Institute
Bachelor's Degree, Electrical Engineering
Sacred Heart University
Computer Science
Inspired Idea Solutions, LLC September 2013 - Present
The Carroll Law Firm November 2009 - September 2013
Ford & Huff LC July 2009 - July 2012
Ingrassia Fisher & Lorenz, P.C. August 2008 - August 2009
Law Office of Wayne Carroll December 2005 - August 2008
Self Employed January 2003 - November 2005
Bose Corporation 2004 - 2004
ASML March 1998 - August 2002
Skills
Prior Art Search, Drafting patent..., Technical Writing, Patent Searching, Intellectual Property..., Patents, Patent Prosecution, Intellectual Property, Licensing, Patent Litigation, Trademarks, Civil Litigation, Litigation, Invention, Trademark Infringement, Software Patents, Copyright Law, Trade Secrets, Cyberlaw, Prosecution, Legal Issues, Unfair Competition, Registered Patent..., Corporate Law, Patentability, Bankruptcy, Arbitration, Dispute Resolution, Legal Writing, Freedom to Operate, Copyright Infringement, Business Litigation, Patent Applications, Trade Dress, Copyright Registration, Patent Portfolio..., Intellectual Property..., Business Formation, Commercial Litigation, Intellectual Asset..., Legal Opinions, Legal Research, Courts, Client Counseling, Appeals, Chapter 7, Mediation, Trademark Protection...
Education
How to Manage a Small Law Firm 2013 — 2021
Entrepreneurial Studies
Suffolk University Law School 2002 — 2005
J.D. cum laude, Intellectual Property Law
UNH School of Law 2003 — 2003
Intellectual Property Law
DeVry University - Phoenix 1992 — 1998
Bachelors of Science, Electronic Engineering Technology
ASML August 2014 - Present
Kaye-Smith August 2010 - August 2014
Printing Today May 2009 - May 2010
Paramount Graphics, Inc. November 2008 - May 2009
Aunt Nettie's Afterschool Daycare September 2001 - June 2008
The Cordius Group & CPS Printing 1999 - 2001
A & L Litho, Inc. 1993 - 1999
Toad'L Printing 1987 - 1991
Skills
Digital Printing, Direct Mail, Packaging, Offset Printing, Brochures, B2B, Superior Client Service, Excellent communication, High Organizational..., Order Fulfillment, Social Media, Sales, Microsoft Excel, Color Management, Management, Customer Service, Marketing Strategy, Mac, Layout, Variable Data Printing, Posters, POS, Client-focused, Integrated Marketing, Production Planning, Bulk Mailing, Creative Services, Direct Mail Campaigns, New Business Development, Advertising, Direct Marketing, Account Management, Positivity, Cross-functional Team..., Print On Demand, Graphic Arts, Proof, Preflight, Strategic Communications, Business Cards, Lithography, Customer Acquisition, Catalogs, Color Correction, Print Management, Graphic Design, Corporate Gifts, Database Marketing, Bindery
Education
Mount Hood Community College 1985 — 1987
A.A, Graphics Technology
Saddleback College
MKS Instruments January 2010 - Present
Goodrich Aerospace 2008 - Present
Zygo Corporation 2006 - Present
Ithaco Space Systems 2007 - December 2011
Real Time Analyzers 2002 - 2010
RainDance Technologies 2007 - 2007
MKS Instruments 2002 - 2004
ASML 2001 - 2003
On-Line Technologies 1991 - 2001
Goodrich 1995 - 1999
Skills
Systems engineering, Mathematical Modelling, Electronics design, Sensors, Optics, R&D, Engineering Management, System Design, Product Development, Semiconductors, Spectroscopy, Embedded Systems, Labview, Engineering, Simulations, Signal Processing
Education
Quinnipiac University 1974 — 1979
BA, Mathematics
Quinnipiac University 1974 — 1979
BS, Chemistry
Quinnipiac University 1974 — 1979
BA, Biology
University of Connecticut 1982 — 1985
Chemical Engineering
Sherwin Williams February 2014 - Present
Sherwin Williams June 2012 - January 2014
SUNY Oswego Campus Recreation August 2010 - May 2012
State University of New York at Oswego August 2008 - May 2012
ASML June 2011 - August 2011
Campus Recreation at Suny Oswego 2008 - 2011
Terhune Orchards July 2006 - November 2008
Skills
Customer Service, Human Resources, Microsoft Office, Time Management, PowerPoint, Microsoft Word, Microsoft Excel, Social Networking, Teamwork, Sales, Public Speaking, Management, Social Media
Education
SUNY Oswego 2008 — 2012
Bachelor Degree, Business Administration
State University of New York College at Oswego 2008 — 2012
Bachelor of Business Administration (B.B.A.), Business Administration and Management, General
Johnson & Johnson June 2015 - Present
Boehringer Ingelheim May 2014 - August 2014
ASML May 2013 - July 2013
RPI October 2012 - December 2012
Skills
Biomedical Engineering, Biomaterials, Laboratory Skills, Time Management, Research, PowerPoint, Teamwork, Microsoft Word, Data Analysis, Competitive, Organization, Microsoft Excel, Windows, Microsoft Office, Minitab, English
Education
Rensselaer Polytechnic Institute 2011 — 2015
Bachelor of Science (BS), Biomedical/Medical Engineering
CuraScript Specialty Distribution September 2014 - Present
Express Scripts Inc. August 2008 - August 2014
Grace Communities May 2007 - August 2008
CL2 Property Group Inc. March 2004 - May 2007
ASML August 1996 - March 2004
Skills
Purchase Management, Purchasing Negotiation, Project Management, Process Improvement, Account Management, Contract Management, Team Leadership, Government Contracting, Pharmaceutical Industry, Supply Chain Management, Strategic Sourcing, Six Sigma, Training, Logistics, Manufacturing, Vendor Management, Cross-functional Team..., Start-ups, Purchasing, Supply Chain, Materials Management, Supplier Evaluation, Continuous Improvement, Leadership, Sourcing
Education
Rice University 1989 — 1993
Bachelor of Arts (BA), Managerial Studies / Sociology
ASML February 2013 - Present
ASML January 2002 - Present
ASML January 1998 - January 2002
Olin Corporation January 1992 - January 1998
Skills
Semiconductors, Lithography, Technical Leadership
Education
San Jose State University 1989 — 1991
MBA, Business & Marketing Management
San Jose State University 1980 — 1983
BS, Business & Marketing Management
Insight Product Development October 2014 - Present
Webb deVlam June 2014 - August 2014
E. S. Bird Library September 2009 - May 2014
Shapeways June 2013 - August 2013
ASML June 2012 - August 2012
ASML June 2011 - August 2011
The Onondagan Yearbook June 2010 - January 2011
Fat City Screen Printing and Embroidery June 2010 - August 2010
Village Center for the Arts September 2007 - August 2010
Skills
Photoshop, Illustrator, InDesign, Word, PowerPoint, Rhino, Quickbooks, Customer Service, Sketching, Excel, Outlook, Mac, Keyshot, Solidworks, Photography, Microsoft Office, Microsoft Word
Education
Syracuse University 2009 — 2014
Bachelor of Industrial Design, Summa Cum Laude
ASML September 2000 - August 2013
Skills
Photolithography, Optics, Semiconductors, Semiconductor Industry, Metrology
Education
Portland State University 1997 — 2000
Bachelor of Science (BS), Physics and Science
Stanley Black & Decker, Inc. April 2015 - Present
Stanley Black & Decker , Inc. October 2012 - March 2015
ASML November 2011 - October 2012
Northrop Grumman January 2008 - November 2011
DRT May 2007 - August 2007
Schick January 2006 - August 2006
Skills
Solidworks, Unigraphics NX 7.5, Matlab, Mechanical Engineering, Teamcenter, AutoCAD, Autodesk Inventor, Minitab, VB.NET, Vitech CORE, Mechanical Design, CAD/CAM, System Design, GD&T, CATIA, Injection Molding, Lithium-ion Batteries, Electronics Packaging, Mechanical Product..., Systems Engineering, Semiconductors, C4ISR Systems
Education
The Johns Hopkins University 2009 — 2011
Masters of Science, Systems Engineering, 3.8
Rensselaer Polytechnic Institute 2003 — 2007
Bachelor of Science, Mechanical Engineering
Poolesville High School 1999 — 2003
High School Diploma, Magnet Program- Science and Technology
Amtrak August 2013 - Present
Northrop Grumman January 2013 - July 2013
General Atomics August 2011 - December 2012
Northrop Grumman Corporation March 2010 - August 2011
Northrop Grumman Corporation April 2008 - August 2009
Defense Logistics Agency August 2007 - April 2008
ASML May 2007 - April 2008
Skills
Rail, Transportation, Railway, Public Transport, Transportation Planning, Light Rail, Rolling Stock, Logistics, Engineering, Operations Management, Project Management, Project Planning, Integration, Manufacturing
Education
NYU Polytechnic School of Engineering 2007 — 2010
Master's Degree, Electrical, Electronics and Communications Engineering
Syracuse University 1999 — 2003
Bachelor's Degree, Bioengineering
ASML May 2011 - Present
Skills
Lithography, Semiconductor Industry
Education
SUNY New Paltz 2007 — 2009
Bachelor of Science (B.S.), Environmental Science
SUNY Oneonta 2001 — 2002
ASML January 2015 - Present
ASML August 2013 - December 2014
ASML March 2012 - August 2013
Institutional Advancement August 2011 - December 2011
Student Association at SUNY Plattsburgh January 2011 - December 2011
Housing & Residence Life at SUNY August 2009 - December 2011
Darwood Associates August 2010 - December 2010
Student Association at SUNY Plattsburgh January 2010 - December 2010
Club International at SUNY January 2009 - December 2009
Rum Doodle Restaurant and Bar August 2007 - December 2007
Skills
International Relations, Public Speaking, Microsoft Office, Economics, Research, Microsoft Excel, PowerPoint, Microsoft Word, Leadership, Budgets, Social Media, Teamwork, Process Improvement, Analysis, Management, Business Strategy, Data Analysis, Public Relations, Teaching, Social Networking, Event Planning, Project Management, Statistics, Access, Program Management, Marketing, Finance, Project Planning, Financial Analysis, Strategic Planning, Market Research, Team Building, Team Leadership, Leadership Development, English, Higher Education, Administration, Outlook
Education
State University of New York College at Plattsburgh 2008 — 2011
Bachelor of Science, Economics, Finance, 3.9
State University of New York College at Plattsburgh 2008 — 2011
Economics and Finance
ASML September 2013 - Present
USC Nanophotonics Group 2008 - 2013
State Key Lab of Advanced Optical Communication Systems and Networks 2005 - 2008
Skills
Simulations, Electron Beam..., Scanning Electron..., Matlab, Thin Films, COMSOL, Photonics, R&D, Photolithography, Optical Communications, Etching, Numerical Analysis, Nanotechnology, Labview, Optics, LaTeX, Optical Microscopy, Finite Element Analysis, Nanofabrication, Microfabrication, C++, Semiconductor Process, FDTD, Algorithms, Physics, Characterization, Mathematica
Education
University of Southern California 2008 — 2013
Doctor of Philosophy (Ph.D.), Electrical Engineering, Optics and photonics
Shanghai Jiao Tong University 2005 — 2008
Master of Science (M.S.), Electronic Engineering, Optical Communications
ASML December 2011 - Present
Skills
C, C++, Programming, Python, Java, Unix Shell Scripting, Perl, ClearCase, Android Development
Education
Rutgers, The State University of New Jersey-New Brunswick 2007 — 2011
Bachelor of Engineering (B.Eng.), Computer Software Engineering, B+
Cymer, an ASML company May 2014 - Present
ASML October 2013 - April 2014
ASML January 2007 - October 2013
AMOLF April 2005 - December 2006
University of Twente October 2002 - December 2006
Skills
Physics, Optics, Spectroscopy, Nanotechnology, Laser Physics, Photonics, Statistics, Electronics, Simulations, Semiconductors, Experimentation, Optical Sensors, Data Analysis, Matlab, Design for Manufacturing
Education
Christian-Albrechts-Universität zu Kiel 2000 — 2002
Master of Science (M.Sc.), Materials Science
Saint Petersburg State Polytechnical University 1995 — 2000
Bachelor's degree, Condensed Matter and Materials Physics
SE & OE Consulting January 2002 - Present
ASML 2002 - Present
Theta Engineering January 2000 - January 2002
Zygo Corporation January 1997 - January 2000
SVG Lithography Systems January 1996 - January 1997
SVG Lithography Systems January 1993 - January 1996
Theta Engineering / Manufacturing January 1991 - January 1993
Zygo Corporation January 1985 - January 1991
Texas Instruments, Inc January 1980 - January 1985
Skills
Systems Engineering, Optical Design, Visual Basic, Python
Education
University of New Haven 2004 — 2010
M. S., Industrial Engineering
Purdue University 1976 — 1980
Applied Physics
ASML June 2011 - Present
Dpix, LLC June 2009 - May 2011
Western forge October 2007 - June 2009
Nikon Precision August 1997 - October 2007
Asea Brown Boveri (ABB) Industrial System July 1994 - August 1997
Skills
Field Service, Photolithography, Lithography, Testing, Manufacturing, Technical Support, Semiconductors, Semiconductor Industry, Metrology, Preventive Maintenance, Sensors, Optics, SPC, Test Equipment, Electronics, Engineering, Silicon, Analog, Hardware, PLC, Labview, IC, Equipment Maintenance, Robotics, Semiconductor Process, Software Installation, Electro-mechanical, Intel, JMP, Yield, Laser, Engineering Management, Process Simulation, Electricians, Design of Experiments, Embedded Systems, Failure Analysis, Product Engineering, Root Cause Analysis, Troubleshooting, Pneumatics, Simulations
Education
SUNY Polytechnic Institute 1990 — 1994
Bachelor of Science, Electronics Engineering Technology
ASML May 2010 - Present
Consult 2009 - 2010
ASML, Inc. August 2007 - 2009
ASML, Inc. March 1998 - August 2007
Silicon Valley Group 1998 - 2000
XMR, Inc. 1997 - 1998
Skills
Management, Electronics, Process Improvement, Business Planning, Project Planning, Leadership, Budgets, Training, Semiconductors, Contract Negotiation, Analysis, Forecasting, SAP, Optics, Financial Analysis, Outsourcing, Semiconductor Industry, Testing, Cross-functional Team..., Business Strategy, Manufacturing, Strategic Planning, Microsoft Office, Business Process, Program Management, Customer Service
Education
University of Alberta 1983 — 1987
B.Sc., Electrical Engineering
San Jose City College 1980 — 1981
A.Sc., Laser Technology
Learn.com February 2006 - October 2012
ASML October 1998 - October 2006
Skills
Salesforce.com, Enterprise Software, Lead Generation, Technical Writing, Learning Management, Technical Training, Solution Selling, Product Management, Project Management, Sales Management, SaaS, Cloud Computing, Product Marketing, CRM, Go-to-market Strategy
Education
School of Visual Arts
Illustration, Computer design, illustration
ASML January 2014 - Present
Schick Manufacturing, a division of Energizer Holdings Inc. September 2010 - November 2013
Energizer Personal Care, Wet Shave Category, a division of Energizer Holdings Inc. June 2008 - September 2010
M-real USA Corp November 2006 - June 2008
M-real USA Corp November 2004 - November 2006
Mitsui Chemicals America, Inc. May 2004 - October 2004
Georgia-Pacific LLC August 2002 - December 2003
Georgia-Pacific LLC March 2001 - August 2002
Fujifilm Medical Systems April 1998 - March 2001
Skills
Demand Planning, Supply Chain, Supply Chain Management, Forecasting, Production Planning, SAP, MRP, Logistics, Supply Chain..., Demand Forecasting, Cross-functional Team..., Manugistics, Materials Management, Sales Plan, Consumer Products, SAP R/3, FMCG, Supply Chain Operations, Management, Continuous Improvement, Inventory Management, Customer Service, Strategic Sourcing, ERP, Sales, Strategy, Project Planning, Leadership, Operations Management, Supply Management, Vendor Managed Inventory, Warehousing, Product Development, P&L Management, S&OP implementation, APICS, SAP APO, MBA, CSCP, APICS Member, Kanban, Heijunka, Pull System, Semiconductors, Semiconductor Industry, Lithography, Optics, Six Sigma, Lean Manufacturing, Process Improvement
Education
The University of Connecticut School of Business 2010 — 2012
MBA, International Business, Marketing, and Management, 3.863 GPA
Seton Hall University 1993 — 1997
Bachelor of Arts Degree, Liberal Studies, Concentration in Communications
Trumbull High School 1989 — 1993
High School Diploma, College Preperation
ASML December 2014 - Present
Carl Zeiss SMT GmbH April 2008 - December 2014
Ruhr University Bochum August 2004 - April 2008
Skills
Optics, Lithography, Physics, Semiconductors, Simulations, Metrologie, Forschung und..., Matlab, Halbleiterindustrie
Education
Ruhr-Universität Bochum 1999 — 2004
Doctor of Philosophy (PhD), Theoretische und mathematische Physik
ASML February 2014 - Present
ASML June 2011 - February 2014
Daktronics March 2010 - September 2010
PPC December 2008 - May 2009
UltraSource Inc. June 2008 - August 2008
Skills
SAP, Pro/Engineer, ANSYS, AutoCAD, Matlab, Excel Visual Basic..., Semiconductor Process..., Electro-mechanical..., Deep vacuum systems, Pro Engineer, Testing, Manufacturing, LabVIEW
Education
Rochester Institute of Technology 2006 — 2011
Bachelor of Science, Mechanical Engineering
Portland Community College 2014 — 2017
Associate's Degree, Interior Design
ASML January 2012 - Present
ASML August 2006 - January 2012
ASML July 1999 - August 2006
Kulicke and Soffa April 1994 - June 1999
AMAT August 1992 - January 1993
ASML August 1989 - August 1992
ASML April 1987 - August 1989
Varian August 1985 - April 1987
Motorola June 1984 - August 1985
Skills
Process Improvement, Cross-functional Team..., Semiconductors, Sales, Product Development, Metrology, Manufacturing, Marketing, IC, CVD, Product Marketing, Program Management, Electronics, Process Simulation, Competitive Analysis, Semiconductor Industry, Design of Experiments, Management, Product Lifecycle..., SPC, Six Sigma, Product Management, Strategy, R&D, Analog, Lithography, Process Engineering, Engineering, Start-ups, Optics, Engineering Management, Continuous Improvement, Business Development, Thin Films, Lean Manufacturing, Supply Chain Management, Change Management, International Sales, Solar Energy, Simulations, Sensors
Education
Stanford University 1979 — 1984
BS, Chemical Engineering
Northrop Grumman Aerospace Systems September 2008 - Present
Goodrich Pump & Engine Control Systems March 2003 - September 2008
ASML June 2000 - March 2003
United Technologies Research Center June 1998 - June 2000
Canberra Industries June 1990 - June 1998
United Technologies, Norden Systems June 1981 - June 1990
Skills
Sensors, Electronics, System Design, Testing, Systems Engineering, DoD, Manufacturing, Embedded Systems, FPGA, System Architecture, Requirements Analysis, Engineering, Product Development, Engineering Management, Cross-functional Team..., Program Management, R&D, Aerospace, Continuous Improvement, MS Project, Management, Analog, Project Management, Lean Manufacturing, Xilinx, Process Improvement, Earned Value Management, Problem Solving, Avionics, Root Cause Analysis
Education
Rensselaer Polytechnic Institute 1999 — 2000
MSEE, Controls
University of New Haven 1990 — 1996
BSEE, Controls
ASML December 2012 - Present
ASML August 2010 - December 2012
Pitney Bowes January 2008 - July 2010
Skills
Labview, Laser Physics, Analog Circuit Design, Task Management, Team Management, MS Project, Embedded Systems, Circuit Design, Electrical Engineering, Electronics, Engineering, Testing, Product Development, Troubleshooting, Manufacturing, Engineering Management, Automation, Six Sigma, Continuous Improvement, R&D, Project Management, FMEA, Semiconductors, Sensors, Failure Analysis, Embedded Software, Design for Manufacturing, Simulations, LabVIEW
Education
Rensselaer Polytechnic Institute 2003 — 2007
B.S., Electrical Engineering
Micron Technology April 2011 - Present
Spansion September 2007 - March 2011
ASML -- MaskTools/Brion Technologies December 2003 - August 2007
ASML September 1997 - November 2003
Samsung Electronics February 1991 - August 1997
Skills
Semiconductors, Lithography, Photolithography, Metrology, RET, OPC, Semiconductor Industry, IC, SoC, Process Simulation
Education
Seoul National University 1984 — 1990
Master, Chemistry
GLOBALFOUNDRIES July 2015 - Present
IBM October 2012 - July 2015
ASML November 2010 - October 2012
Nikon Instruments Inc. November 2008 - November 2010
Micron Technology January 2005 - December 2008
Nova Measuring Instruments October 1997 - January 2005
National Semiconductor , Tower Semiconductor May 1987 - October 1997
Skills
Product Support Manager, Field Service Manager, Field Service Engineer, Metrology, Application Development, Analitical Laboratory..., AFM application, SEM application, EDX application, XRR application, XRD application, Ellipsometry application, OCD application, Failure Analysis, Reverse Engineering, Contamination Control, Semiconductor Equipment, Semiconductor..., SPC, EUV contamination..., EUV Metrology, Troubleshooting, Thin Films, Semiconductors, Interferometry, R&D, Spectroscopy, DOE, Product Management, Design of Experiments, Scatterometry
Education
Technion-Machon Technologi Le' Israel 1978 — 1982
B.Sc, Electro-Optics (Physics) Engineering
ASML May 2013 - Present
Design By Analysis, Inc. June 2012 - July 2014
Daimler Buses North America 2011 - June 2012
Skills
Precision Tooling, Finite Element Analysis, Mechanical Engineering, Aerospace Engineering, Solidworks, Pro Engineer, Autodesk Software, Inventor, Unigraphics, Machine Design, Metrology, Geometric Dimensioning..., Windchill, Autodesk Vault, Teamcenter, CAD, Aerospace, Tolerance Analysis, Solid Modeling, Mechanical Analysis, GD&T, Design Engineering, Stress Analysis, Mechanical Product...
Education
Syracuse University 2005 — 2009
Bachelor's degree, Aerospace, Aeronautical and Astronautical/Space Engineering
Advantage Metalwork & Finishing, LLC September 2014 - Present
ASML February 2013 - September 2013
Rochester Institute of Technology March 2010 - May 2012
Rochester Institute of Technology March 2009 - March 2010
Skills
AutoCAD, Solidworks, ANSYS, Alternative Energy, Project Management, Engineering Management, Mechanical Engineering, Engineering, Microsoft Office, Manufacturing, Product Design, Customer Service, R&D, Design for Manufacturing, Public Speaking, SolidWorks
Education
Rochester Institute of Technology 2010 — 2012
Master of Science (MS), Mechanical and Manufacturing Systems Integration
Rochester Institute of Technology 2005 — 2010
Bachelor of Science (BS), Electrical/Mechanical Engineering Technology
Crafco, Inc. May 2015 - Present
ASM October 2014 - May 2015
Stantec October 2012 - October 2014
ASML February 2007 - September 2012
ASML October 2008 - December 2010
PDS - Productive Data Solutions July 2006 - February 2007
Motorsports Authentics (formerly Action Performance) June 2000 - June 2006
Skills
Human Resources, Benefits Administration, Training Delivery, HRIS, Wellness, Office Management, Databases, SAP, SQL, Performance Measurement, Cost Management, Project Delivery, Written Communication, Confidentiality, Teamwork, Virtual Teams, Personality Assessment, Cross Cultural..., Exceptionally Organized, Collaborative Problem..., Creative Solutions, Graphics Software, Web Content, Open Enrollment, FMLA, Disability Insurance, Health Savings Accounts, High Deductible Health..., Account Reconciliation, PowerPoint, Dreamweaver, Volunteering, Ad Hoc Reporting, Performance Reporting, DISC, 360 Assessments, Soft Skills, Mentoring, Internal Communications, COBRA, 401k, Needs Analysis, Photoshop, New Hire Orientations, ADP ReportSmith, Employee Relations, Talent Management, Performance Management, Onboarding, Corporate Training
Education
Envisia 2010 — 2010
Facet5 certification, Self-Awareness and Personal Assessment
SHRM
PHR, Human Resources Management/Personnel Administration, General
Phoenix College
ASML September 2000 - Present
JMAR Technologies 1999 - 2000
University of Wisconsin-Madison 1996 - 1999
Skills
Microscopy, Physics, Lithography, X-ray, Optics, Sensors, Nanotechnology, Photolithography, Engineering, Mathematica, Design of Experiments, Thin Films, Materials Science, Scanning Electron..., R&D, Microfabrication, Semiconductors, Metrology
Education
Peter the Great St.Petersburg Polytechnic University
ASML April 2014 - Present
ASML January 2013 - April 2014
Jackson Lewis LLP October 2012 - December 2012
Darwood Associates Inc. September 2011 - December 2011
Student Managed Investment Portfolio (SUNY Plattsburgh) August 2011 - December 2011
Accounting and Finance Association (SUNY Plattsburgh) August 2010 - May 2011
Student Managed Investment Portfolio (SUNY Plattsburgh) August 2010 - May 2011
Aflac August 2010 - December 2010
Skills
Financial Analysis, Analysis, Microsoft Excel, Forecasting, PowerPoint, Accounting, Leadership, Microsoft Word, Financial Modeling, Economics, SAP, Management, Business Analysis, Bookkeeping, Portfolio Management, Microsoft Office, Access, Statistics, Sales, Finance, Capital Markets, Databases, Investments, Marketing, Outlook, Market Research, Teamwork, Research, Process Improvement, Training, Cost Analysis, Vlookup, Pivot Tables, VBA, Microsoft SQL Server, Organization, Self-starter, Presentation Development, CIF, cXML, Ariba, ERP, Visual Basic, Lean Management, Lean Thinking, MS Project
Education
State University of New York College at Plattsburgh 2007 — 2011
BS, Business, Finance, Minor: Management Information Systems
Norwalk Community College 2014 — 2014
SQL Programming/Relational Databases Course
James I. O'Neill High School 2003 — 2007
ASML February 2011 - Present
MRC Optics (Inrad Optics) May 2008 - April 2010
Exotic Electro-Optics (now II-VI Optical Systems) September 2003 - May 2008
Nu-Tek Precision Optical Corporation March 1999 - September 2003
Edmund Scientific (now Edmund Optics) November 1976 - November 1998
Skills
Optics, Metrology, Optical Engineering, Photonics, Manufacturing..., Project Engineering, Electro-optics, Production Schedules, Project Planning, Manufacturing, Testing, Interferometry, Lithography, Optoelectronics, Photolithography, Laser, Thin Films, Optical Fiber, R&D, Machining, ISO, MEMS, Spectroscopy, Coatings, Engineering Management, Fiber Optics, Zemax, Laser Physics, Semiconductors, Sputtering, Physics, Nonlinear Optics, Design of Experiments, Labview, Nanotechnology, PVD, Vacuum, Plasma Physics, CVD, Simulations, Characterization, Silicon, Materials Science, Failure Analysis, PECVD
Education
Rutgers University – Camden 1972 — 1976
Bachelor's, Physics
ASML September 2005 - Present
ASML (formally SVG Lithography) 2005 - 2010
ASML January 1999 - October 2005
Skills
Strategic Sourcing, Supply Chain Management, Supply Chain, Cost Reduction, Procurement, Global Sourcing, Team Building, Change Management, Coaching, Kaizen, Lean Manufacturing, Manufacturing, Operations Management, Outsourcing, Strategic Planning, Supply Management, Product Development, MRP, Six Sigma, Continuous Improvement, Process Engineering, Operational Excellence, Process Improvement, Materials Management, Cross-functional Team..., Spend Management, Purchasing, Value Stream Mapping, Contract Negotiation, Logistics, Semiconductors, Quality Management, Program Management, Manufacturing..., Inventory Management, Management, SPC, APICS, Engineering Management, Business Strategy, Forecasting, Supplier Evaluation, Supply Chain..., Negotiation, Electronics, 5S, SAP, Aerospace, Engineering, Kanban
Education
Rockland Community College
Associate of Science (A.S.), Electrical Engineering Technologies/Technicians
Western Connecticut State University
Bachelor of Business Administration (BBA), Industrial Management
ASML July 2013 - Present
Canberra Industries January 2009 - July 2013
Canberra Industries January 2007 - January 2009
Quest Engineering Services, E. Hartford, CT July 2005 - January 2007
ASML Lithography, Wilton, CT June 2004 - August 2005
MTU Aero Engineering, Rocky Hill, CT August 2001 - July 2004
JDS Uniphase, South Windsor, CT July 2000 - August 2001
Hobbs Medical Inc, Stafford Springs, CT April 1997 - July 2000
Bodine Assembly & Test Systems, Bridgeport, CT September 1995 - April 1997
Skills
Finite Element Analysis, Tooling, Solidworks, CAD, Engineering, Materials, Design for Manufacturing, Modeling, Product Design, Testing, Problem Solving, Engineering Management, GD&T, System Design, Process Improvement, Machine Design, ASME Boiler And..., ASME Boiler And...
Education
Rensselaer Polytechnic Institute at Hartford 1998 — 2001
Mechanical Engineering
University of Connecticut 1988 — 1992
BSME, Mechanical/Materials Science ENGR
Nypro Healthcare February 2014 - Present
Smith & Nephew April 2008 - December 2013
Appleton Papers April 2005 - April 2008
ASML January 1999 - April 2005
Radio Frequency Systems (RFS) August 1995 - January 1999
Osram Sylvania June 1993 - August 1995
Skills
Six Sigma, DMAIC, Lean Manufacturing, 5S, Continuous Improvement, Operational Excellence, FMEA, Kaizen
Education
Southern New Hampshire University 2012 — 2014
Master of Business Administration (M.B.A.)
State University of New York at New Paltz
Walker & Company Brands, Inc. July 2014 - Present
littleBits April 2013 - July 2014
Sigmund Cohn November 2011 - April 2013
ASML September 2006 - November 2011
Skills
Semiconductors, Manufacturing..., Manufacturing, Cross-functional Team..., Process Engineering, Six Sigma, Process Improvement, Optics, Engineering, Product Development, Business Process..., Supply Chain, Project Management, Leadership, Supply Chain Management, Operations Management, Start-ups, Continuous Improvement, Quality System, NPI Management, E-commerce, Platform Development, Budget Forecasts, Forecasting, Corporate Finance, Financial Accounting
Education
SUNY - STONY BROOK UNIVERSITY 2001 — 2006
Bachelors of Science (BSc, Engineering Chemistry
Stony Brook University 2001 — 2006
Bachelors of Engineering (BEng, Engineering Science (Material Science)
Thamer International 2000 — 2001
High School
ASML May 2013 - Present
Veeco Instruments November 2011 - May 2013
NxStage Medical June 2011 - November 2011
MKS Instruments September 2010 - March 2011
Skills
VHDL, AutoCAD, Microsoft Word, Microsoft Excel, Microsoft PowerPoint, Microsoft Project, Oscilloscope, Digital Multimeter, SAP, DxDesigner, Microsoft Visio, C++, PSPICE, MATLAB, Failure Analysis, Multimeter, PowerPoint, Visio, Matlab, MS Project, Pspice
Education
Rochester Institute of Technology 2006 — 2011
Bachelor of Science (B.S.), Electrical Engineering Technology
DashGo 2015 - Present
Sony Music Entertainment June 2013 - April 2014
Local Yokel Media February 2013 - June 2013
ASML June 2011 - August 2011
Kemado / Mexican Summer Records May 2010 - August 2010
Skills
Social Media Marketing, Digital Marketing, Marketing, Direct Marketing, Marketing Communications, Marketing Strategy, Public Relations, Advertising, Digital Strategy, Online Advertising, Social Networking, Social Media, Digital Media, Blogging, Microsoft Excel, Event Planning, Market Research, Customer Service, Copyright, Email Marketing
Education
Syracuse University - Martin J. Whitman School of Management 2008 — 2012
Bachelor of Science (B.S.), Marketing
ZEISS Industrial Metrology June 2015 - Present
NEON, Inc. November 2014 - June 2015
ASML December 2012 - September 2014
Seagate Technology May 2012 - August 2012
Seagate Technology May 2011 - August 2011
Bobcat Company January 2010 - August 2010
Skills
Engineering, Project Planning, Six Sigma, Manufacturing, Management, Matlab, SolidWorks, Testing
Education
Rochester Institute of Technology 2007 — 2012
Bachelor's degree, Mechanical Engineering
Frt of America LLC April 2015 - Present
ASML 2000 - 2002
Silicon Valley Group 1999 - 2000
Watkins Johnson 1996 - 1999
Skills
Cross-functional Team..., Six Sigma, Process Improvement, Integration, Automotive, Field Service, Program Management, Manufacturing, Semiconductors, Electronics, Engineering Management, Testing, Failure Analysis, Engineering, Semiconductor Industry, R&D, Microsoft Excel, Microsoft Word, Customer Service, Metrology, Surface Metrology
Education
Rensselaer Polytechnic Institute 1991 — 1996
Bachelor of Applied Science (BASc), Mechanical Engineering
ASML 2014 - Present
IBM 2012 - 2013
IBM 2008 - 2012
IBM 2000 - 2007
IBM 1999 - 2000
Tecan 1998 - 1999
Mitsubishi Semiconductor America, Inc. 1992 - 1998
Mitsubishi Semiconductor America, Inc. 1990 - 1992
Perkin-Elmer Corp. 1980 - 1990
Skills
Technical Project..., Product Development, Personnel Management, Project Planning, Project Management, Project Engineering, Strategic Partnerships, Contract Management, Supplier Evaluation, Semiconductor Industry, Automation, Laboratory Automation, Material Handling..., Aerospace, Capital Equipment, Data-driven Decision..., Problem Solving, Continuous Improvement, Process Improvement, Cross-functional Team..., Managing Technical..., Operations Management, Lean Manufacturing, Budget Process, Mechanical Engineering, Material Selection, Adhesives, Machine Design, Machining, Team-oriented
Education
Rensselaer Polytechnic Institute at Hartford
Master of Science (M.S.), Mechanical Engineering
The University of Connecticut
Bachelor of Science (B.S.), Mechanical Engineering / Materials Engineering
ASML US, Inc. July 2014 - Present
ASML February 2007 - July 2014
Skills
Procurement, Supply Chain Management, Negotiation, Facilities Management, Semiconductor Industry, Contract Negotiation, Contract Management, Construction Management, Energy Procurement, Employee Benefits, Corporate Housing, Property Leasing, Corporate Vehicle...
Education
State University of New York College at Brockport
Bachelor of Science (B.S.), Biology/Chemistry
Apple October 2013 - Present
ASML August 2011 - September 2013
University of Arizona August 2006 - July 2011
Office of Technology Transfer at The University of Arizona August 2009 - August 2010
Spectra-Physics 2004 - 2006
Discera 2003 - 2004
Skills
Zemax, Optics, Optical Engineering, Interferometry, Photonics, Physics, Laser, Laser Physics, Metrology, Semiconductors, Labview, Thin Films, Matlab, Spectroscopy, Sensors
Education
University of Arizona 2006 — 2011
PhD, Optical Sciences
Reed College 1999 — 2003
BA, Physics
ASML 2013 - Present
KLA-Tencor 2009 - 2013
KLA-Tencor 2001 - 2009
KLA-Tencor 1999 - 2001
KLA-Tencor 1997 - 1999
Acuson Corp. (Now Siemens) 1995 - 1997
Cylink 1994 - 1995
Siemens Medical Systems 1992 - 1994
dBMicro Systems 1990 - 1992
China Eastern Airlines 1986 - 1990
Skills
Product Management, Semiconductors, Cross-functional Team..., Agile Methodologies, Management, Program Management, Process Improvement, Outsourcing, Software Development, Vendor Management, Project Planning, Product Development, Leadership, Continuous Improvement, Engineering Management, Testing, Integration
Education
San Jose State University 1990 — 1991
Master of Science (M.S.), Computer Science
Shanghai Jiao Tong University 1981 — 1985
Bachelor of Science (B.S.), Computer Science
Doering Ventures, Inc. June 2012 - Present
CPR Cell Phone Repair Scottsdale February 2013 - Present
Entegris May 2008 - June 2012
Canon Nanotechnologies August 2005 - May 2008
ASML October 2000 - August 2005
Lam Research (formerly SpeedFam-IPEC) September 1995 - October 2000
SRI International June 1991 - September 1995
DoD August 1988 - September 1990
Skills
Management Consulting, Operational Excellence, Leadership, Semiconductors, Product Marketing, Product Management, Cross-functional Team..., Engineering Management, Product Lifecycle..., Business Strategy, Strategic Partnerships, Strategic Planning, Business Development, Competitive Analysis, Strategy, Executive Management, Team Leadership, Go-to-market Strategy, New Business Development, Business Alliances, Change Management, Semiconductor Industry, Team Building, Process Engineering, R&D, Engineering, Start-ups, Product Development, Management, Manufacturing, P&L Management, P&L Responsibility, Global Business..., Market Analysis, Mergers, Acquisition Integration, Electronics, Analysis, Process Improvement, Finance, Marketing Strategy
Education
Stanford University 1990 — 1992
MS
University of California, Berkeley 1984 — 1988
BS
Gunn High School 1980 — 1984
ASML July 2012 - Present
Cymer September 2010 - June 2012
SVTC Technologies September 2009 - August 2010
Spansion 2006 - February 2009
AMD 1992 - 2006
KLA-Tencor 2000 - 2001
Skills
Thin Films, Engineering, Semiconductors, Project Management, Cross-functional Team..., R&D, Lithography, Manufacturing, Semiconductor Industry, Metrology, Electronics, Management, Process Simulation, Process Engineering, Silicon, Photolithography, Design of Experiments, EDA, CMOS, Failure Analysis, Engineering Management, MEMS, Characterization, Product Lifecycle..., SPC, IC, Analog
Education
Rochester Institute of Technology 1987 — 1992
BS, Microelectronic Engineering
(available) April 2011 - Present
ASML 2006 - 2011
A.B. Dick Co. August 1995 - June 2004
Skills
Optics, Systems Engineering, Precision Mechanism..., Production and Test..., SQC, GD&T, Digital Imaging, Photometry, Radiometry, Statistical Quality..., Laser Printers, Laser Safety, Programming, Zemax, Manufacturing..., Design for Manufacturing, Simulations, Automation, Engineering, Quality Control, Sensors
Education
Rensselaer Polytechnic Institute 1969 — 1973
Master of Science (MS), Mechanical Engineering
Nikon Research Corporation of America April 2014 - Present
Nikon Research Corporation of America January 2009 - March 2014
ASML July 1994 - January 2009
IBM July 1981 - June 1994
Skills
Lithography, Thin Films, Optics, Metrology, Semiconductors, Simulations, Photolithography, Sensors, Interferometry, MathCAD, AFM, Characterization, Craft Beer, Electron Beam..., Engineering Management, Fourier Optics, Food, IC, Labview, Italian cuisine, MEMS, Nanotechnology, Nonlinear Optics, Nanofabrication, Chaos Management, Design of Experiments, Optoelectronics, Physics, Product Development, Project Engineering, Program Management, Semiconductor Industry, R, Silicon
Education
University of Arizona 1989 — 1991
Ph.D., Optical Science
University of Connecticut 1982 — 1986
M.S., Physics
Rochester Institute of Technology 1978 — 1981
BS, Photographic Science
General Dynamics June 2011 - July 2015
Nikon R&D October 2007 - May 2009
ASML June 2006 - August 2007
Adobe(MacroMedia) October 2005 - March 2006
Applied Materials May 1995 - July 2005
Skills
Semiconductors, Embedded Software, Software Development, Software Engineering, VxWorks, ClearCase, C++, C, Client/server, Embedded Systems, Engineering Management, Testing, Debugging, RTOS, Automation, Semiconductor Industry, Electronics, PVD, TCL, Metrology, Manufacturing, Failure Analysis, Systems Engineering, SPC, Product Engineering, CVD, R&D, Robotics, IC, Engineering, Design of Experiments, Electrical Engineering, Firmware, Simulations, PCB design
Education
Santa Clara University 1982 — 1987
MS - Computer Science, Computer Science
Chevron December 2013 - Present
General Dynamics October 2010 - November 2013
MRC Optics January 2008 - January 2009
ASML March 2007 - January 2008
UBright Optronics Inc. March 2002 - February 2007
3M Health Information Systems March 1996 - April 2002
3M 1996 - 2002
Skills
Solidworks, AutoCAD, Machinery, Metrology, Laser, Design of Experiments, Clean Rooms, Machining, Mechanics, Design for Manufacturing, Lean Manufacturing, Manufacturing, Labview, Mechanical Engineering, Machine Tools, Engineering, Testing
Education
University of Phoenix 2010 — 2012
Bachelor's degree, Business Administration and Management, General, 3.85
Axia College 2008 — 2010
AA, Business, 3.9
Santa Rosa Junior College 1981 — 1983
AA, Machine Tool Technology
Optical Support, Inc. February 2015 - Present
ASML Optics October 2004 - December 2014
ASML 1994 - 2003
ASML 1990 - 1994
ASML 1987 - 1990
Harris Semiconductor 1983 - 1987
Texas Instruments 1982 - 1983
Skills
Semiconductors, Product Marketing, Marketing Strategy, Manufacturing, Lithography, Semiconductor Industry, Marketing, Management, Cross-functional Team..., Product Management, Product Development, Advertising, R&D, Metrology, Optics, Program Management
Education
Rochester Institute of Technology 1980 — 1982
M.S., Photographic Science
University of Florida 1975 — 1979
B.S., Photographic Science
ASML April 2015 - Present
Skills
Monthly Close Process, Financial Metrics, Operating Budgets, Takt, Financial Analysis, Corporate Budgeting, Business Control, Semiconductor Industry, Financial Audits, SAP BW, Pivot Tables, SAP R/3, SAP BPC, Forecasting, Six Sigma, Business Process..., MRP, Financial Reporting, Capital Budgeting, Operational Excellence, Cross-functional Team..., Supply Management, Sarbanes-Oxley Act, SAP, Production Planning, Supply Chain Management, Manufacturing, Supply Chain, SAP ERP, Process Engineering, Management, Process Improvement, Analysis, Budgets, Finance
Education
Sacred Heart University 1995 — 2000
MBA, Accounting & Finance
Southern Connecticut State University 1989 — 1993
Bachelor's degree, Political Science and Business Economics
ASML August 2014 - Present
ASML August 2012 - August 2014
Motorola Solutions May 2011 - May 2012
Stony Brook University Campus Residences January 2009 - May 2012
Motorola Solutions June 2010 - August 2010
NYC Department of Parks & Recreation June 2006 - September 2009
Skills
3D Modeling, Matlab, AutoCAD, Inventor, Mechanical Engineering, Microsoft Office, Unigraphics, Lean Six Sigma, Total Quality..., Engineering, Pro Engineer
Education
State University of New York at Stony Brook 2007 — 2012
B.E./M.S.
ASML April 2008 - Present
Credence Systems 2001 - 2008
Schlumberger Technologies 1999 - 2001
Schlumberger Technologies 1997 - 1999
Schlumberger Technologies 1996 - 1999
Stanford University September 1994 - July 1996
Connaissances 1992 - 1994
Eastman Kodak 1987 - 1989
Skills
C++, Java, Windows, Perl, Python, JavaScript, Operating Systems, Solaris, Visual Studio, Rational Rose, MS Project, STL, UML Tools, C, HTML, Unix, XML, CORBA, Linux, Shell Scripting, Cross-functional Team..., Programming, ClearCase, UML, .NET, Scripting, MFC, Visual C++, Mixed Signal, Hardware, Testing, Semiconductors, Embedded Software, Debugging
Education
Stanford University 1994 — 1996
Post doctorate, Biophysics
Université de Pau et des Pays de l'Adour 1989 — 1992
PhD, Physics Chemistry
Université de Nantes 1987 — 1988
DEA, Physics Chemistry
Lipkin & Associates 2007 - Present
Nautilus Data Technologies November 2013 - March 2014
Applied Ceramics June 2012 - May 2013
Vantage Point Venture Partners June 2007 - June 2012
Therma-Wave (acquired by KLA Tencor) 2003 - 2007
ASML 2001 - 2002
Silicon Valley Group, Inc. (acquired by ASML) 1995 - 2001
Varian Semiconductor (acquired by Applied Materials) 1992 - 1995
IBM 1978 - 1992
Skills
Product Marketing, Product Development, Semiconductors, Manufacturing, Strategic Planning, Engineering, Sales, Start-ups, Strategy, Business Planning, Mergers & Acquisitions, Product Management, Entrepreneurship, Medical Devices, Cross-functional Team..., Program Management, Strategic Partnerships, Management, Semiconductor Industry, Executive Management, Business Development, Solar Energy, New Business Development, China Business..., Procurement, Competitive Analysis, Business Strategy, R&D, Cleantech, International Business, Engineering Management, Venture Capital, Leadership, SaaS, Due Diligence, P&L Management, Global Business..., Process Engineering, Private Equity, International Sales, Corporate Development, Go-to-market Strategy, Market Analysis, Management Consulting, Renewable Energy, Acquisition Integration, Emerging Markets
Education
Kharkov Polytechnic Insitute
M.S., Engineering
Stanford University Graduate School of Business
MBA, Business
Wilmington Trust June 2014 - Present
Charles Schwab September 2013 - June 2014
Charles Schwab May 2008 - September 2013
J.P. Morgan October 2005 - May 2008
The Vanguard Group January 2004 - October 2005
ASML November 1997 - August 2003
Skills
Project Planning, E-learning, Investments, Mutual Funds, Series 7, Instructional Design, Web Development, Job Aids, Corporate Communications
Education
State University of New York College at Purchase
Bachelor's degree, Economics
Brown Institute
Certificate, Computer Science, Computer Science
Albuquerque Technical Institute
Certificate, Electronics
PGS July 2014 - Present
PGS June 2013 - June 2014
ASML October 2012 - May 2013
University of Connecticut August 2008 - October 2012
GE Global Research May 2011 - August 2011
Skills
Electrical Engineering, Matlab, Materials Science, Finite Element Analysis, COMSOL, Nonlinear, High Voltage, ANSYS, 3D Modeling, Numerical Analysis, Maple, MathCAD
Education
The University of Connecticut 2008 — 2012
Doctor of Philosophy (Ph.D.), Materials Science
Southwest Jiaotong University
Bachelor of Science, Electrical and Electronics Engineering
Naugatuck Valley Community College 2006 - Present
ASML August 1979 - Present
Skills
Microsoft Office, Higher Education, Strategic Planning, Team Building, Access, Windows, Analysis, Customer Service, Microsoft Excel, Marketing, PowerPoint, Program Management, Process Improvement, Project Management, Public Speaking, Telecommunications
Education
University of Phoenix 1999 — 2001
MBA, Business
Post University 1992 — 1995
BS, Business
New York Maintenance Group Inc. August 2015 - Present
Hologic February 2003 - October 2013
ASML October 2001 - February 2003
Silicon Valley Group February 2001 - October 2001
Diba Industries Inc. February 2000 - December 2000
Medical Laboratory Automation, Inc. 1997 - February 2000
Northrop Grumman Corporation 1994 - 1996
Westinghouse Electric Company 1994 - 1996
United Technologies 1991 - 1994
United Technologies 1989 - 1991
Skills
Lean Manufacturing, Continuous Improvement, Manufacturing, Kaizen, Medical Devices, Process Improvement, SPC, Manufacturing..., ISO 13485, Quality System, Manufacturing Operations, FDA, Engineering Management, Cross-functional Team..., Program Management, MRP, Medical Imaging, ISO, Strategic Planning, Engineering, R&D, Manufacturing..., Project Planning, FMEA, Start-ups, Team Building, Six Sigma, Digital Mammography, Supply Chain Management, CAPA, Quality Management, Budgets, Product Development, Operations Management, Root Cause Analysis, GMP, Validation, Cost Reduction..., Industrial Engineering, Microsoft Office, Value Stream Mapping, 5S, Aerospace, Materials Management, Inventory Management, Logistics, Outsourcing, Professional Mentoring, APICS, Mentoring
Education
Queensborough Community College 1974 — 1977
Associate of Arts and Sciences (AAS), Electrical Technology
Brooklyn Technical High School 1970 — 1974
Electrical and Electronics Engineering
Chilton Trust Company September 2015 - Present
ASML July 2015 - September 2015
GE Capital December 2014 - June 2015
ASML July 2014 - November 2014
Vision Financial Markets LLC January 2014 - May 2014
Freddies' U.S. Mail January 2009 - December 2013
SunGard July 2013 - November 2013
UBS November 2011 - May 2013
Northwestern Mutual May 2009 - January 2010
Skills
Microsoft Excel, QuickBooks, Microsoft Office, PowerPoint, Microsoft Word, Payroll, Insurance, Financial Analysis, Social Media, Excel, Outlook, Account Reconciliation, Accounting, Management, Access, Sungard, Financial Markets, Social Networking, Tax, Team Building, Oracle
Education
Sacred Heart University 2010 — 2011
MBA, Finance, 3.62
Sacred Heart University 2006 — 2009
Bachelor of Science, Finance, 3.50
KCG Holdings, Inc. 2015 - Present
Tradeweb 2013 - 2015
SR Labs 2012 - 2013
Yahoo! 2011 - 2011
ASML 2006 - 2011
Education
The Johns Hopkins University
Doctor of Philosophy (PhD), Astrophysics
서울대학교 / Seoul National University
Master of Science (MS), Physics
서울대학교 / Seoul National University
Bachelor of Science (BS), Physics
NAVAIR September 2009 - Present
Qimonda July 2004 - August 2009
Motorola 2000 - 2004
ASML 1997 - 2000
Skills
Management, Project Management, Semiconductors, Program Management, Engineering Management, Electronics, SPC, Engineering, Leadership, JMP, Design of Experiments, Thin Films, Failure Analysis, Product Development, Lithography, Six Sigma, Process Engineering, Metrology, Semiconductor Industry
Education
Rutgers University-New Brunswick 1993 — 1997
alibaba lab July 2014 - Present
Founton Technology July 2012 - June 2014
ASML 2005 - June 2012
pseudonoise 2004 - 2004
Skills
EDA, Semiconductor Industry, Photolithography, Lithography, Debugging, C/C++, Lua, java, algorithm, Distributed Algorithms, Distributed Systems
Education
Shanghai Jiao Tong University
Stanford University
ASML September 2014 - Present
Stanford University November 2011 - August 2014
Stanford Unviersity January 2008 - August 2011
Stanford University April 2006 - August 2007
Skills
Characterization, Science, Physics, Research, Materials Science, Nanotechnology, LaTeX, AFM, Microscopy, Matlab
Education
Stanford University 2005 — 2011
PhD, Applied Physics
Tsinghua University 2001 — 2005
B.S., Physics and Math
NEYC 1995 — 2001
Tokyo Electron September 2010 - Present
Tokyo Electron October 2008 - September 2010
Tokyo Electron April 2006 - October 2008
Timbre Technologies (a Tokyo Electron subsidiary) August 2002 - April 2006
eMagin October 2001 - August 2002
eMagin February 2000 - October 2001
ASML March 1999 - February 2000
Hi-Tech Systems Services June 1995 - March 1999
Education
State University of New York at New Paltz 1992 — 1996
B.S., Electrical Engineering
ASML September 2014 - Present
ASML July 2009 - Present
ASML January 2008 - August 2010
Pitney Bowes January 2007 - January 2008
Intel Corporation January 2005 - January 2007
Spirit Communications February 2000 - January 2003
Skills
Outsourcing, SAP BW, SAP, Microsoft Office, Supplier Management, Negotiation, Offshore, SAP R/3, Contract Negotiation, Excel, Strategic Sourcing, PowerPoint, Purchasing, SAP Portal, Contract Management, Procurement, SAP BI/BW, Offshoring, Supply Management, Microsoft Excel, ERP, Materials Management, Supply Chain Management, Project Planning, Supply Chain, Logistics, Manufacturing, Global Sourcing, Supply Chain..., MRP, Strategy, Six Sigma, Continuous Improvement, Production Planning, Demand Planning, Operations Management, Logistics Management, Lean Manufacturing, Cross-functional Team..., Operational Excellence, Supplier Development
Education
Portland State University 2002 — 2004
Master's degree, Logistics, Materials, and Supply Chain Management
University of Arizona 1993 — 1997
Bachelor's degree
ASML September 2014 - Present
Tokyo Electron U.S. Holdings Inc. 1999 - September 2014
Tokyo Electron November 1999 - August 2014
Tokyo Electron America 1999 - August 2014
Skills
EHS, Industrial Safety, Manufacturing, Semiconductors, SPC, Root Cause Analysis, Ergonomics, Industrial Hygiene, Risk Assessment, Occupational Health, Program Management, ISO, Continuous Improvement, ISO 14001, Hazardous Waste..., Semiconductor Industry, Process Engineering, Lean Manufacturing, Design of Experiments, Electronics, Engineering Management, FMEA, Six Sigma, Failure Analysis, Engineering, Process Simulation, R&D, CVD, Chemical Safety, Cross-functional Team..., Metrology, Plasma Etch, Safety Engineering, Manufacturing Operations
Education
Rutgers, The State University of New Jersey-New Brunswick
Mechanical Engineering
ASML 2014 - Present
Cymer 2011 - 2014
INNOVosity Consulting 2007 - 2013
Plextronics 2011 - 2011
Plextronics 2009 - 2011
LANXESS Corporation 2004 - 2008
Bayer 1988 - 2004
Skills
Quality Management, Cross-functional Team..., Six Sigma, Project Planning, Strategic Planning, Root Cause Analysis, Continuous Improvement, Operations Management, Quality System, Operational Excellence, Process Improvement, Management, Project Management, Process Engineering, Engineering, Manufacturing, Leadership, R&D
Education
Stephen F. Austin State University
Master of Science (MS)
Villanova University
Certificate
University of Notre Dame - Mendoza College of Business
Certificate, Senior Business Management
Alcon LenSx, Inc. February 2012 - Present
CVI Melles Griot July 2011 - February 2012
Exotic Electro-Optics May 2009 - July 2011
ASML August 2006 - February 2009
ASML 2006 - 2009
Therma-Wave 2000 - 2006
Skills
Semiconductors, R&D, Metrology, SPC, Manufacturing, Optics, Electronics, Design of Experiments, Quality System, Engineering Management, Testing, Laser, Fiber Optics, Labview, ISO, Failure Analysis, FMEA, Solidworks, Systems Engineering, ISO 13485, Root Cause Analysis, Six Sigma, Manufacturing..., Quality Assurance, Supplier Quality, 5S, Lean Manufacturing, Sensors, Continuous Improvement, Quality Management
Education
San Jose State University 1998 — 2000
GLOBALFOUNDRIES January 2011 - Present
The Dow Chemical Company October 2001 - January 2011
ASML December 1999 - October 2001
Intersil September 1997 - May 1999
Education
Rochester Institute of Technology 1994 — 1999
BS
Windham High School 1990 — 1994
ASML February 2013 - Present
Cymer January 2012 - February 2013
Cymer, Inc October 1997 - January 2012
Kyocera North America January 1987 - October 1997
Education
San Diego State University-California State University 2003 — 2005
MBA, Finance
San Diego State University-California State University 1982 — 1986
BS, Mechanical Engineering
ASML MaskTools Staff engineerASML US1996 - Present
ASML 1996 - Present
svg 1996 - 2000
Education
Stevens Institute of Technology 1972 — 1980
Siegle consulting May 2005 - Present
Family Supportive Housing 2004 - Present
ASML 2006 - 2013
Saratoga Presbyterian Church 1990 - 2010
Toppan Photomask Inc 2007 - 2009
AMD April 1990 - May 2005
Advanced Micro Devices 1990 - 2005
IBM August 1964 - March 1990
Skills
Semiconductors, Semiconductor Industry, Manufacturing, Product Development, Start-ups, Nonprofits, Electronics, Product Management, Cross-functional Team..., SPC, Strategic Partnerships, Program Management, Strategic Planning, Business Strategy, Management, Leadership, Go-to-market Strategy
Education
Rensselaer Polytechnic Institute 1956 — 1964
Ph D, Electrical Engineering
SPP Process Technology Systems 2009 - Present
Aviza Technology November 2003 - Present
ASML July 1998 - October 2003
Silicon Valley Group July 1996 - July 2000
Skills
Negotiation, Product Development, Procurement, Semiconductor Industry, Cross-functional Team..., Purchasing, Supply Chain Management, Manufacturing, Supplier Development, Project Planning, Supply Management, Process Improvement, Program Management, Budgets, Management, Business Development, Inventory Management, Start-ups, Semiconductors, MRP, Operations Management, Forecasting, Strategic Sourcing, Project Management, ERP, Team Building, Integration, Product Marketing, Lean Manufacturing, Strategic Planning, Customer Service, Engineering, Inventory Control, Six Sigma, Contract Negotiation, Global Sourcing, Sales Management, Pricing, SAP, Logistics, Continuous Improvement, Change Management, Supply Chain, Product Management, Materials
Education
San Jose State University 1998 — 2001
Toppan Photomasks, Inc. October 2013 - Present
American Diabetes Association 2014 - Present
Toppan Photomasks September 2001 - October 2013
American Diabetes Association 2008 - 2013
ASML MaskTools February 1999 - September 2002
ASML 1998 - 2002
Photronics February 1997 - February 1999
Skills
Lithography, Simulation, DFM, OPC, Semiconductors, Photolithography, Optics, Characterization, Product Development, Optimization, Engineering, R&D, Product Management, EDA, Product Marketing, Failure Analysis, Simulations, Design of Experiments, Semiconductor Industry, Manufacturing, JMP, IC, Design for Manufacturing
Education
Stanford University 1989 — 1996
Ph.D., Chemistry
The University of Chicago 1985 — 1989
BS, Chemistry
General Electric (GE) December 2011 - March 2012
ASML March 2011 - July 2011
Skills
NI LabVIEW, Electrical Engineering, Electronics
Education
Technische Universität Wien 1988 — 1994
ASML June 2011 - Present
Goodrich March 2004 - June 2011
Teradyne July 2001 - March 2004
Skills
Semiconductors, Engineering Management, Electronics, Failure Analysis, Engineering, Root Cause Analysis, FMEA, Design of Experiments, SPC, Cross-functional Team..., Continuous Improvement, Simulations, Six Sigma, Systems Engineering, Manufacturing
Education
Rensselaer Polytechnic Institute 1997 — 2001
BS, Electrical Engineering
Yankee Gas August 2008 - Present
The Iron Shop December 2004 - March 2008
A. Pappajohn Company 2003 - 2004
ASML 2000 - 2003
Tishman Construction 1985 - 1991
Skills
Construction, Materials, Electronics, Heavy Equipment, Management, Machinery, Purchasing, Project Planning, Product Development, Microsoft Office, Engineering, Negotiation, Energy, Customer Service, Strategic Planning, Procurement, Microsoft Excel, Business Planning, New Business Development
Education
State University of New York at New Paltz 1974 — 1977
ASML Regional Customer Support ManagerASMLMay 1995 - Present
GCA - Intergrated Solutions 1984 - 1995
Skills
Semiconductor Industry, Semiconductors, Field Service, Electronics, Managing people
Education
St. Cloud State University 1978 — 1983
BS, Engineering Technology
ASML November 2014 - Present
Self-Employed November 2012 - Present
Skills
Contract Negotiation, Program Management, Operations Management, Contract Management, Change Management
Education
Quinnipiac University School of Law 2009 — 2012
Doctor of Law (J.D.)
Virginia Polytechnic Institute and State University 1986 — 1993
BA, English
Medline ReNewal March 2012 - July 2014
ASML 1998 - 2011
Olin Microelectronic Materials 1994 - 1998
Colorado Department of Health 1993 - 1994
KTI Chemicals 1989 - 1992
Intel 1987 - 1989
Perkin Elmer Censor 1986 - 1987
Intel 1984 - 1986
Skills
Customer Relations, Problem Solving, Project Management, Semiconductor Industry, Photolithography, Manufacturing, Engineering, Process Engineering, Customer Service, Product Development, Semiconductors, Product Management, Account Management, Cross-functional Team..., Design of Experiments, Engineering Management
Education
Syracuse University 1980 — 1984
B.S., Chemical Engineering
University of California 1992 — 1992
Professional Certificate, Hazardous Materials Management
Poly One 2005 - Present
Cannondale January 2004 - June 2005
ASML February 2001 - October 2003
SVG Lithography December 1995 - February 2001
Skills
Cost Accounting, Budgets, SEC filings, GAAP, Auditing, Accounting, Financial Accounting, Managerial Finance, Strategic Planning, Sarbanes-Oxley Act, Six Sigma, Financial Analysis, Lean Manufacturing, Financial Modeling, Mergers, Forecasting, Process Improvement, Financial Reporting, Internal Controls, Manufacturing, Cash Management, Revenue Recognition, SAP, General Ledger, Mergers & Acquisitions, Accounts Receivable, Consolidation, Account Reconciliation, Cash Flow, Fixed Assets, Finance, Corporate Finance, Treasury Management, US GAAP, Due Diligence, Variance Analysis, Financial Statements, Hyperion Enterprise, Financial Audits, Restructuring, ERP, Internal Audit, JD Edwards, Acquisition Integration, Accruals, Project Accounting, Accounts Payable, Strategic Financial..., Capital Budgeting, Corporate FP&A
Education
Sacred Heart University
MBA, Accounting
Sacred Heart University
BA, Accounting
Tesla Motors January 2009 - Present
TriQuint Semiconductor July 2004 - December 2008
Coherent January 2004 - June 2004
ASML July 1995 - October 2003
Education
San Jose State University
BS, Accounting
ASML March 2007 - Present
Brion Technologies August 2012 - Present
Brion Technologies November 2005 - July 2012
Takumi Technology December 2003 - October 2005
Synopsys March 2003 - November 2003
Numerical Technologies July 2002 - February 2003
Numerical Technologies July 1999 - June 2002
United Technologies Research Center September 1996 - June 1999
Skills
Product Management..., Technical Marketing, Product Requirements, Engagement Management, Computational..., Simulations, Control Engineering, Software Development, Lithography, R&D, Algorithms, Semiconductors, Semiconductor Industry, Product Management, EDA, Product Marketing, IC, Digital Signal..., Engineering Management, Embedded Systems, Metrology
Education
Rensselaer Polytechnic Institute 1997 — 1999
M.S., Management
University of Southern California 1992 — 1996
Ph.D., Electrical Engineering
University of Science and Technology of China 1987 — 1992
B.S., Automation
ASML April 2013 - Present
ElectroOptics Research Institute and Nanotechnology Center, University of Louisville May 2012 - April 2013
UAH May 2007 - May 2012
UAH August 2006 - May 2007
Akzo Nobel Car Refinishes October 2004 - June 2006
Skills
Matlab, Labview, Zemax, Design of Experiments, Optical Metrology, Optical System Design, Data Analysis, Oral and written..., Optics, Digital Image Processing
Education
University of Alabama in Huntsville 2006 — 2012
PhD, Optical Science and Engineering, 3.77
Sri Sathya Sai Institute of Higher Learning 2002 — 2004
MSc, Physics (specialization in Photonics)
Sri Sathya Sai Institute of Higher Learning 1999 — 2002
BSc, Physics (Honors)
ASM October 2011 - January 2013
TE Connectivity September 2010 - October 2011
Oerlikon March 2006 - March 2009
Komatsu Silicon America April 2004 - March 2006
ASML January 1997 - September 2003
Silicon Valley Group September 1991 - April 1995
Skills
Sales Management, Key Account Management, Manufacturing, Sales, Product Management, Cross-functional Team..., Forecasting, Project Management, Team Building, Semiconductors, Management, Leadership, Continuous Improvement, Customer Service, Supply Chain Management, Business Development, Electronics, Program Management, Semiconductor Industry, Metrology, Process Improvement
Education
University of Portland 1987 — 1989
Bachelor of Science (BS)
Portland Community College 1985 — 1987
Associate of Science (A.S.)
Morpho Detection, LLC June 2014 - Present
ASML April 2013 - June 2014
Kawasaki Robotics April 2010 - April 2013
Optoelectronix Inc July 2008 - December 2009
Novellus Systems, Inc July 2006 - April 2008
Stanford Linear Accelerator Center June 1998 - July 2006
Skills
New Product Release, Continuous Improvement, Cost Reduction..., Solidworks, Pro Engineer, AutoCAD, Visual Basic, VB.NET, VBA, Microsoft Excel, ANSYS, Semiconductor Industry, Vacuum Chambers, Robotics, LED lighting systems, Visual C#, C, CNC Machine, Engineering, Finite Element Analysis, C++, Programming, Simulations, LabVIEW, Mechanical Engineering, Microsoft Office, PTC Creo, PowerPoint, Product Development, SolidWorks
Education
San Jose State University
BSME, Mechanical Engineering
Smart System Technology and Commercialization Center May 2009 - Present
Corning Tropel 2006 - 2009
Varian Semiconductor 2001 - 2002
ASML 1999 - 2001
Intel 1997 - 1999
General Electric Corporate Research and Development 1989 - 1997
University of Rochester, Laboratory for Laser Energetics 1984 - 1989
IBM April 1986 - July 1986
Skills
Thin Films, Optics, Physics, Metrology, Failure Analysis, DUV, Materials Development, R&D, MEMS
Education
Rensselaer Polytechnic Institute
MS, Materials Engineering
University of Connecticut
BS, Physics
University of Rochester
Optics/ Materials Science
Dur-A-Flex, Inc. June 2013 - Present
SMT Corporation December 2011 - June 2013
SpectraWatt Inc. August 2009 - April 2011
NXP March 2006 - June 2009
ASML March 2002 - March 2005
ASML December 1997 - March 2002
Lam Research August 1988 - December 1997
Skills
Lean Manufacturing, Six Sigma, Project Management, Purchasing, Semiconductors, SPC, FMEA, Failure Analysis, Design of Experiments, Manufacturing, Electronics, Continuous Improvement, Engineering, Root Cause Analysis, Semiconductor Industry, Thin Films, Metrology, Photovoltaics, Automation, Solar Energy, Robotics, Process Engineering
Education
University of Bridgeport 1984 — 1987
BSEE, Electrical Engineering
State University of New York at Delhi 1982 — 1984
ASEE, Engineering
ASML June 2010 - Present
Victorinox - Swiss Army Corp January 2011 - May 2011
Business Mfg November 2008 - January 2009
Merisel November 2008 - January 2009
Pitney Bowes October 2000 - May 2008
Pitney Bowes June 1973 - May 2008
Pitney Bowes June 1973 - April 2008
Pitney Bowes June 1973 - September 2000
Skills
Agile Methodologies, System Design, Technical Documentation, Pricing, Technical Writing, Cross-functional Team..., Process Improvement, Training, Product Development, Continuous Improvement, Lean Manufacturing, Program Management, Manufacturing, 5S, Team Building, SAP, Six Sigma, Project Management, Management, Process Engineering
Education
Bridgeport Engineering Institute
Mechanical Engineering
Sacred Heart University
BS, Business
Sacred Heart University
MBA, Business
ASML June 2010 - Present
NXP January 2000 - August 2009
Philips Semiconductors June 2000 - December 2006
MiCRUS Semiconductors January 1995 - June 2000
IBM May 1982 - January 1995
Harris Semiconductor January 1977 - May 1982
Skills
Semiconductor Industry, Semiconductors, Metrology, SPC, Failure Analysis, Thin Films, Photolithography, Sensors, Electronics, Troubleshooting, Design of Experiments
Education
Rochester Institute of Technology 1989 — 1991
Microelectronics
ASML 2011 - Present
ASML April 2008 - October 2011
ASML October 2000 - April 2008
Skills
Optical Fiber, Continuous Improvement, Lithography, Talent Management, Metrology, Process Improvement, Performance Management, Manufacturing, Metal Fabrication, Optics, Succession Planning, Program Management, Change Management, Cross-functional Team..., Lean Manufacturing
Education
Post University 2001 — 2005
Bachelor of Science (B.S.), Business Administration and Management, General
Ostendo Technologies July 2012 - Present
CH Leasing October 2009 - November 2011
GLG Councils 2006 - 2009
BMK Technology Partners 2006 - 2009
Litel Instruments 2001 - 2006
KLA-Tencor 1998 - 1999
KLA-Tencor 1996 - 1998
ASML 1991 - 1996
IDT 1989 - 1991
Philips Electronics, BV 1987 - 1989
Skills
Metrology, Photolithography, Semiconductors, Sustainable Development, R&D, Simulations, Engineering Management, Product Development, Process Engineering, Product Management, Design of Experiments, Lithography, Semiconductor Industry, Start-ups, Project Management, Product Marketing, Engineering, Process Improvement, Lean Manufacturing, Manufacturing, Six Sigma, Yield, Product Engineering
Education
Stanford University
MS, Chemical Engineering
University of California, Berkeley
BS, Chemical Engineering
Gigaphoton USA November 2008 - Present
ASML 2006 - 2008
ASML September 2000 - March 2006
National Semiconductor March 1993 - June 1995
Skills
Lithography, Electronics, Simulations, Semiconductor Industry, Semiconductors, IC, Cross-functional Team..., Metrology, Testing, Start-ups, JMP, Field Service, SPC, Engineering Management, R&D, Optics, Design of Experiments, Thin Films, Product Management, Manufacturing, Product Engineering, Failure Analysis, Process Simulation, Process Engineering, SoC, FMEA, Continuous Improvement, Test Equipment
Education
Tri-State University 1988 — 1992
BS EE, Computer Engineering
Singapore Polytechnic 1983 — 1986
Surveying, Civil
ASML January 2005 - April 2009
ASML January 2001 - January 2005
Silicon Valley Group January 2000 - January 2001
Electronics Academy January 1998 - January 2000
Education
University of Phoenix 2004 — 2006
2006Master; BA, Business Administration; Mathematics
San Jose State University
CA Credited Teaching Credential, Secondary Education and Teaching
San Jose State University
BA Mathematics, Mathematics and Computer Science
QVI July 2013 - Present
JCM Design September 2011 - Present
ASML July 2012 - July 2013
Aries Precision Products September 2009 - September 2011
Corning Tropel June 1992 - February 2009
Skills
Pro Engineer, Solidworks
Education
Rochester Institute of Technology 1994 — 1997
BSMET, Mechanical Engineering
Aviza Technology June 2003 - Present
ASML November 2001 - November 2003
Education
San Jose City College 1986 — 1988
traning, Electro/mechanic
CBA Group ( within Patriarch Partners LLC) January 2008 - Present
Universal Instruments ( Dover Corporation) March 2006 - December 2007
ASML October 2004 - March 2006
ASML January 2001 - September 2004
ASML MaskTools September 1997 - December 2000
Tata Steel May 1995 - July 1997
Tata Steel March 1990 - April 1995
Skills
SPC, Quality System, ISO, Value Stream Mapping, Kaizen, Process Improvement, Failure Analysis, FMEA, Design for Six Sigma..., Design for Reliability..., Lean Six Sigma, Balanced Scorecard, Six Sigma, Lean Manufacturing, Continuous Improvement, Manufacturing, Quality Assurance, Program Management, Product Development, DMAIC, Quality Management, Cross-functional Team..., Operational Excellence, Supplier Quality, TQM, Root Cause Analysis, Business Process..., Testing, Strategy, Process Engineering, Quality Auditing
Education
The University of Connecticut 1999 — 2001
MBA, Finance and strategy, 3.9
Rochester Institute of Technology 1996 — 1997
M.S., Quality and Applied Statistics, 3.97
ASML February 2011 - Present
ASML September 1997 - February 2011
Norden Systems August 1985 - 1995
Unimation Robotics 1982 - 1985
Skills
Six Sigma, Manufacturing, Cycle Time Reduction, Management, Lean Manufacturing, 5S, SPC, DMAIC, Continuous Improvement, Procurement, Quality Control, Process Simulation, Testing, Value Stream Mapping, Materials, FMEA, Engineering, Process Improvement
Education
University of Bridgeport 1987 — 1990
Programming / BASIC / Cobalt
Sacred Heart University 1983 — 1986
Business Administration and Management, General
Norwalk State Technical College 1978 — 1980
Associate of Science (AS), Electrical and Electronics Engineering
Kforce Staffing / Butler America July 2011 - June 2013
Mercury Solar Systems January 2011 - June 2011
RCM Technologies December 2009 - September 2010
Westinghouse Electric (System One Consultant) April 2005 - July 2009
ASML 1995 - 2003
Skills
MS Project, Project Planning, Process Scheduler, Primavera P6, Earned Value Management, Integration, PMO, Testing, CPM Scheduling, Management, Nuclear, Start-ups, Program Management, Process Improvement, Contract Management, Change Management, Purchasing, Aerospace, Construction, Vendor Management
Education
Syracuse University 1969 — 1970
law
Rutgers University-New Brunswick 1965 — 1969
BA, History
ASML April 2007 - Present
Education
Purdue University
EMD Millipore 2001 - Present
ASML 1999 - 2001
Raytheon 1991 - 1999
Skills
Water Quality, Water Filtration, ServiceMax, Salesforce.com, Hardware Diagnostics, Troubleshooting, Validation, FDA, Medical Devices, Biotechnology, GMP, Lifesciences, ISO 13485, Laboratory Automation, Chromatography, Biopharmaceuticals, Commercialization, Calibration, Quality System, Technology Transfer, Sop, CAPA, V&V, Electronics, Field Service, Preventive Maintenance, Purification, Commissioning, Filtration
Education
Saint Leo University
Northrop Grumman Corporation August 2003 - Present
ASML 1999 - 2003
Raytheon Electronic Systems 1995 - 1999
Skills
Trade Studies, C4ISR, Spacecraft, Control Systems Design, Inertial Navigation, Aperture poining control, Numerical Simulation
Education
Rensselaer Polytechnic Institute
Master's degree, Electrical / Systems Enginering
Vilanova University
Bachelor's degree, Engineering
ASML January 2007 - Present
University of Dayton May 2004 - December 2006
Skills
Optical System Design, Optical Engineering, Optical Metrology, Zemax, Code V, Matlab, FRED, Optical Design, Physical Optics, Optics, Physics, Metrology, Spectroscopy, Sensors, Lithography, Photonics, Photolithography, Optoelectronics, Laser Physics
Education
University of Dayton 2004 — 2006
Masters, Electro- Optics
Sri Sathya Sai Institute of Higher Learning 2000 — 2002
Master of Science (MSc), Physics
Sri Sathya Sai Institute of Higher Learning 1997 — 2002
Bachelor of Science (BSc), Physics
DCT Energy Services March 2014 - March 2015
Patterson Dental June 2013 - March 2014
AMTS May 2011 - January 2013
Peak Energy Services Trust May 2010 - May 2011
WeatherBug by Earth Networks July 2007 - May 2010
ASML 2001 - 2007
Mint Technology/LSI Logic June 1997 - February 2001
Skills
Field Service, Electro-mechanical, Metrology, Test Equipment, Troubleshooting, Pneumatics, Equipment Maintenance, Calibration, PVD, CVD, Preventive Maintenance, Electronics, Equipment Installation, Vacuum, Semiconductor Industry, Multimeter, Failure Analysis, Soldering, Power Supplies, Field Service..., Clean Rooms, SPC, Laser, Semiconductors, Optics, Photolithography, Hardware, Hardware Diagnostics, Electricians, Electrical Controls, Hydraulics, Manufacturing, Testing, Engineering, Design of Experiments, Robotics, Continuous Improvement
Education
Pikes Peak Community College 1997 — 1997
Associate's degree, Micro Electronics
ASML May 2008 - Present
Pitney Bowes September 2007 - April 2008
BBST August 2003 - May 2007
Bicom June 2000 - August 2003
Education
Quinnipiac University 2005 — 2007
MS, Computer Information Science
Advanced Specialty Care April 2014 - Present
Paul V. DiGrazia, MD, Ob/Gyn November 2013 - December 2013
Monroe Staffing Services (assigned to ASML) May 2010 - April 2012
ASML June 1997 - November 2009
Bethel Healthcare - Cascade Assisted Living October 2005 - November 2008
Skills
Outlook, Process Improvement, Microsoft Excel, Team Building, Customer Service, Employee Relations, Microsoft Office, Microsoft Word, Purchasing, Leadership, Troubleshooting, Logistics, SAP, Coaching, Procurement, Training, Team Leadership, Account Management, Budgets, Leadership Development, Medical Records, Records Management, Travel Arrangements, SAP R/3, Receptionist Duties, Administrative Office..., Calendars, Meeting Scheduling, Bilingual, Vital Signs, Medical Coding, Clinical Practices, First Aid, HIPAA, Spirometry, Medical Terminology, Patient Education, Patient Care, CPR Certified, Phlebotomy, Supply Chain Management
Education
Ridley Lowell Business and Technical Institute 2012 — 2013
Certification, Medical Assistant, 3.8 GPA
Naugatuck Valley Community College 2005 — 2005
Certification, Certified Nurse Assistant
Saint Leo University 1995 — 1995
Business Administration and Management, General
ASML June 2012 - Present
Omnitek Partners 2008 - 2012
Skills
Engineering Analysis, Metrology, Semiconductors, Mechatronics, Semiconductor Industry, Simulations, Failure Analysis, Design of Experiments, Labview, Design for Manufacturing, Optics, Finite Element Analysis, Matlab, Mechanical Engineering, Unigraphics, Engineering, Heat Transfer, Solidworks
Education
Stony Brook University 2008 — 2012
Bachelor of Engineering (B.E.), Mechanical Engineering
Intel Corporation October 2012 - Present
Flextronics March 2010 - October 2012
Intel Corporation August 2005 - March 2009
Sieger Engineering September 2004 - August 2005
ASML August 1994 - February 2003
Education
Portland State University 1986 — 1990
Bachelor of Science (BS), Electrical and Electronics Engineering
ASML January 2000 - Present
Education
Portland State University
Master of Science (MS), DSP
ASML August 2006 - Present
IBM March 1985 - April 2006
Skills
Semiconductors, Procurement, Supply Chain Management, Supply Management
Education
Pratt Institute 1981 — 1984
Bachelor's degree, Electrical and Electronics Engineering
Queensborough Community College 1979 — 1981
Associate of Science (AS), Computer Technology/Computer Systems Technology
ASML 2001 - Present
SVG Lithography Systems 1990 - 2001
Perkin-Elmer Corp, Semiconductor Equipment Group 1984 - 1990
Skills
Semiconductor Industry, R&D, Cross-functional Team...
Education
Rensselaer Polytechnic Institute 1990 — 1992
Master of Science (M.S.), Mechanical Engineering
Clarkson University 1982 — 1984
Bachelor's Degree, Mechanical Engineering
SUSS MicroTec January 2010 - Present
ASML November 2007 - January 2010
Anvik Corp. July 2004 - November 2009
Skills
Engineering Design, CAD, Precision Engineering, Opto-mechanical Design, Lithography, Laser Ablation, Finite Element Analysis, Unigraphics, Solidworks, Inventor, Pro Engineer, ANSYS, Die Casting, Casting
Education
University of Michigan 2002 — 2004
Master of Science (MS), Mechanical Engineering
PSG College of Technology 1998 — 2002
Bachelor of Engineering (BE), Mechanical Engineering
ASML August 2010 - Present
Connecticut Army National Guard December 2006 - Present
Education
Platt Technical High School
US Army September 2008 - Present
ASML June 2007 - August 2007
Skills
Mechanical Engineering, Leadership, Microsoft Office, Project Management, DoD, Engineering, Project Planning, PowerPoint, Military Operations, Program Management, Team Leadership, Operational Planning, Command, Management, Troubleshooting, Training, Research, Systems Engineering, Analysis, Weapons, Team Building, Operations Management, Process Improvement, Military Training, Teamwork, Government, Testing, Teaching, Outlook, Matlab, Logistics, English, Manufacturing
Education
Stevens Institute of Technology 2009 — 2011
Master of Engineering in Mechanical Engineering, Thermal Engineering
Stevens Institute of Technology 2009 — 2011
Graduate Certificate, Ordnance Engineering
Fairfield University 2004 — 2008
Bachelor of Science (B.S.), Mechanical Engineering