SEAKR Engineering
Industry: Aerospace and defense company
DescriptionHeadquarters: Centennial, CO Founded: 1981FeedbackDisclaimer Claim this knowledge panel Knowledge ResultSee photos See outsideMoved, closed, or was never hereReportSEAKR Engineering IncWebsiteDirections Saved (0) Saved Save Manufacturer in Centennial, Colorado Address: 6221 S Racine Cir, Centennial, CO 80111 Hours: Closed ⋅ Opens 8AM Mon SundayClosedMonday(Martin Luther King Jr. Day)8AM–5PMHours might differTuesday8AM–5PMWednesday8AM–5PMThursday8AM–5PMFriday8AM–5PMSaturdayClosedSuggest an edit Unable to add this file. Please check that it is a valid photo. Phone: (303) 790-8499Website: Category: : : Place name: : : : : Website: http://www.seakr.com/ Category: Manufacturer Suggest an editUnable to add this file. Please check that it is a valid photo. Unable to add this file. Please check that it is a valid photo. Questions & answers Ask a questionBe the first to ask a question
SEAKR Engineering List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at SEAKR Engineering. You can filter them based on skills, years of employment, job, education, department, and prior employment.
SEAKR Engineering Salaries. You can even request information on how much does SEAKR Engineering pay if you want to. Learn about salaries, pros and cons of working for SEAKR Engineering directly from the past employees.
Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!
189 SEAKR Engineering employees in database. Find out everything there's to know about SEAKR Engineering employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.
SEAKR Engineering Employees
SEAKR Engineering Process EngineerITN Energy SystemsApril 2012 - Present
IM Flash Technologies August 2007 - April 2012
Micron Technology August 2000 - July 2007
Bismarck Public Schools 1998 - 2000
Skills
Electronics, Design of Experiments, Thin Films, PVD, CVD, Renewable Energy, Semiconductors, SPC, Engineering, JMP, Troubleshooting, Sputtering, Engineering Management
Education
Bismarck State College 1994 — 1996
Associate of Arts and Sciences (A.A.S.), Electronics Technology
Honeywell May 2015 - August 2015
SEAKR Engineering April 2011 - July 2014
Charles Schwab July 2008 - April 2011
Charles Schwab July 2010 - December 2010
Skills
Analysis, Project Management, Microsoft Excel, Stocks, Bonds, Mutual Funds, MS Project, Earned Value Management, Finance, Budgets, Cross-functional Team..., Financial Analysis, Program Management, Microsoft Project, Strategy
Education
Carnegie Mellon University - Tepper School of Business 2014 — 2016
Master of Business Administration (MBA), Marketing, Strategy and Finance
DePaul University 2012 — 2012
Certificate in Project Management, Project Management
Colorado State University 2004 — 2008
Business, Finance
SEAKR Engineering November 2014 - Present
Sierra Nevada Corporation June 2014 - September 2014
Raytheon January 2011 - June 2014
Medtronic August 2010 - January 2011
Jeppesen Inc. – A Boeing Company March 2006 - November 2008
Jeppesen Inc. – A Boeing Company November 2004 - March 2006
L-3 Communications August 2000 - June 2003
Lockheed Martin June 1998 - July 2000
United States Air Force September 1989 - September 1993
Skills
Technical Writing, Systems Engineering, Analysis, Program Management, Requirements Gathering, Aerospace, Integration, Testing, Military, Process Improvement, Software Development, Proposal Writing, Requirements Management, Engineering, Engineering Management, Leadership, SDLC
Education
University of Colorado Boulder 1994 — 1998
B.S., Aerospace Engineering
SEAKR Engineering March 2012 - Present
Avenge Apparel & Design January 2010 - Present
United States Marine Corps January 2003 - November 2012
Anguish Apparel 2010 - 2011
Furniture Row Companies 2003 - 2006
Skills
Military Experience, Defense, Marine Corps, DoD, Security Clearance, Leadership, Military Tactics, Weapons, Firearms, Gunsmithing, Marksmanship, Graphic Design, Illustrator, Photoshop, Web Design, Dreamweaver, Apparel Design, Logo Design, Computer Network..., Network Engineering, Windows 7, Windows 8, Windows Server, Mac OS X Server, iOS, Linux, Data Network, VMware, VMware ESX, NAS, Synology NAS, Cisco Networking, Cisco Routers, Cisco Switches, Wireless Networking, HTML, Computer Repair, Computer Hardware..., Product Testing, Testing, Force Protection, Military, Command, Operational Planning, Combat, Military Operations, National Security, Military Affairs, Weapons Training
Education
Arapahoe Community College 2011 — 2012
N/A, Small Business Administration/Management, Graphic Design, Web Design.
Capernwray Hall/Tauernhoff 1999 — 2000
N/A, Theology/Theological Studies
Arapahoe High School 1995 — 1998
High School Diploma., General Studies
SEAKR Engineering September 2015 - Present
Micron Technology December 2011 - September 2015
SEAKR Engineering January 2003 - December 2011
Spectra Logic 2000 - 2002
Skills
SystemVerilog, FPGA, SoC, Xilinx, ASIC, Timing Closure, Signal Integrity, PCB design, C, Hardware, System Architecture, UVM, Embedded Systems, RTL Design, Verilog, PCB Design
Education
University of Colorado at Boulder
BS, Electrical and Computer Engineering
University of Wollongong
Professional Training
UCSD RADY School of Management in partnership with Spectrum Knowledge January 2013 - Present
Northrop Grumman Space Technology November 2008 - Present
SEAKR Engineering April 2006 - November 2008
BAE Systems October 1996 - February 2006
Harman Automotive Systems August 2000 - February 2001
Skills
Engineering, Cross-functional Team..., Program Management, Engineering Management, Pro Engineer, Electronics, Aerospace, Product Design, Design for Manufacturing, Electronics Packaging, Product Development, Hardware Architecture, Simulations, Functional Management, Mentoring, Solid Modeling, Solidworks, Hardware Design, Sub-system Lead
Education
University of California, Santa Barbara 1993 — 1996
BSME, Mechanical Engineering
Rose Hulman Institute of Technology 1990 — 1992
Mechanical Engineering
University of Minnesota-Twin Cities
SEAKR Engineering October 2004 - Present
Education
Colorado State University 2001 — 2004
Bachelor of Science (BS), Electrical and Electronics Engineering
SEAKR Engineering May 2014 - Present
Carpet Doctor April 2011 - August 2013
Subway/Coldstone, Steamboat Springs, CO April 2009 - January 2010
Skills
C, C++, Verilog, Matlab, Microcontrollers, Pspice, VHDL, FPGA, Simulink, Programming, Digital Electronics
Education
University of Colorado Boulder 2012 — 2015
Bachelor's, Electrical Engineering
University of Colorado Boulder
B.S, Electrical Engineering
SEAKR Engineering September 2012 - Present
INSTAAR 2010 - 2011
Skills
Labview, Matlab, C++, C, Testing, Python, Pspice, Embedded Systems, VHDL, Simulations, Mathematica, Oscilloscope, Simulink
Education
University of Colorado at Boulder 2008 — 2012
Bachelor of Applied Science (B.A.Sc.), Electrical and Electronics Engineering
SEAKR Engineering July 2015 - Present
Ball Aerospace 2000 - 2015
MDA Information Systems LLC 2009 - 2010
SSTL 1987 - 2000
Skills
Systems Engineering, Space Systems, Spacecraft, Aerospace, Earth Observation, Systems Design, Program Management, Satellite, Engineering Management, Simulations, Earned Value Management, Testing
Education
University of Surrey 1987 — 1996
Doctor of Philosophy (Ph.D.), Earth Imaging with Microsatellites
University of Surrey 1986 — 1987
Master of Science (MSc), Satellite Communications Engineering
Kings College London 1983 — 1986
BSc(Eng), Electical & Electronic Engineering
European School of Brussels 1972 — 1983
Baccalaurate
ManTech International Corporation March 2015 - Present
General Dynamics Information Technology July 2013 - March 2015
SAIC October 2012 - July 2013
General Dynamics Information Technology October 2009 - October 2012
US Navy October 2003 - October 2009
Quest Diagnostics December 2006 - January 2009
FNC August 2004 - June 2006
Genext Systems, LLC August 2001 - February 2004
Teletrac March 1997 - October 2001
SEAKR Engineering January 1990 - August 1993
Skills
Security Clearance, DoD, CompTIA, Security+, Information Assurance, CompTIA Network+, Military, Troubleshooting, Navy, Computer Hardware, Network+, System Administration, Network Administration, Field System Engineering, Desktop Engineering, Windows 7, SharePoint 2010/2007, Windows Server..., OS Migration, SQL Development, Cisco Network..., Business Analysis, Software Development, Active Directory, Dashboards, SharePoint, Computer Systems..., Web Development, Process Analysis, Technical Documentation, IT Management, Network Security, Intelligence Analysis, Computer Security, Windows Server, Databases, SQL, SIGINT, Active Top Secret..., HUMINT, Networking, Systems Engineering, Security, Cisco Technologies, Microsoft Exchange, Servers, Microsoft SQL Server, Technical Support, CISSP, Program Management
Education
Keller Graduate School of Management of DeVry University 2014 — 2015
Masters of Information Systems Management
DeVry University
Bachelor of Science
SEAKR Engineering August 2013 - Present
Arapahoe Community College January 2015 - May 2015
National Center for Atmospheric Research May 2012 - December 2013
University of Colorado August 2011 - May 2013
Research Experience for Undergraduates (REU) May 2006 - July 2006
Skills
Computer Science, Data Analysis, Mathematics, Chemistry, Research
Education
University of Colorado Boulder 2011 — 2013
Master of Science (MS), Atmospheric and Oceanic Science
University of Portland 2007 — 2011
Bachelor of Science (BS), Electrical Engineering
SEAKR Engineering January 2014 - Present
Agilent Technologies September 2008 - January 2014
Agilent Technologies March 2002 - December 2008
Agilent Technologies December 2007 - September 2008
Agilent Technologies April 2001 - March 2002
Agilent Technologies September 2000 - April 2001
Atmel Corporation June 2000 - September 2000
Skills
PCB design, Hardware Architecture, Analog, Semiconductors, Signal Integrity, Hardware, FPGA, Electronics, Logic Analyzer, Testing, ASIC, PCIe, RF, Embedded Systems, Test Equipment, Oscilloscope, Test Engineering, Circuit Design, Manufacturing, R&D, Engineering, Spectrum Analyzer, Xilinx, Debugging, IC, Engineering Management, Electrical Engineering, C, Embedded Software, Mixed Signal, USB, Power Electronics, Cadence, Integrated Circuit..., Simulations, Network Analyzer, TCL, HyperLynx SI&PI..., Cadence Sigrity SI/PI, Bit Error Ratio Tester..., Power Integrity, Visual Basic .NET, Engineering Consulting, Cross-functional Team..., Product Development, C++, PCB Design
Education
Colorado Technical University 1996 — 2000
Bachelor of Science (BS), Electrical and Electronics Engineering
SEAKR Engineering November 2014 - Present
BAE Systems September 2014 - November 2014
Florida Institute of Technology January 2014 - May 2014
Lockheed Martin October 2012 - January 2014
L-3 Communications September 2009 - October 2012
Harris Corporation May 2000 - September 2009
Skills
FPGA, Systems Engineering, Software Design, Electrical Engineering, Embedded Systems, System Design, Simulations, Testing, Matlab, Labview, System Architecture, VHDL, Software Engineering, Embedded Software, Aerospace, Verilog, C/C++, C#, DSP
Education
Florida Institute of Technology 2007 — 2009
Master of Science (MS), Computer Engineering
Florida State University 1997 — 2000
Bachelor's degree, Electrical Engineering
SEAKR Engineering April 1997 - Present
Lockheed Martin November 1987 - 1997
Skills
Microsoft Office, Microsoft Excel, Microsoft Word, PowerPoint, English, Research, Windows, Outlook, Photoshop, Teaching, HTML, Public Speaking, Budgets, Strategic Planning
Education
Red Rocks Community College 1980 — 1984
Associate of Arts and Sciences (AAS)
Accenture August 2014 - Present
Smash Solar, Inc. January 2014 - May 2014
UC Berkeley August 2010 - May 2014
SEAKR Engineering June 2013 - August 2013
Boy Scouts of America : Denver Area Council November 2011 - August 2012
Skills
Salesforce.com..., Salesforce.com..., Salesforce.com..., Salesforce.com..., Solidworks, Matlab, Microsoft Office, Heat Transfer, Leadership Development, Brainstorm Facilitation, Engineering Design, Structural Mechanics, Fluid Mechanics, Electrical Engineering, Public Speaking, Adaptability, PowerPoint, Microsoft Excel, Microsoft Word, Leadership, AutoCAD
Education
University of California, Berkeley 2010 — 2014
Bachelor of Science (BS), Mechanical Engineering
Earth Science Systems LLC May 2015 - Present
Evergreen Research, Inc. January 2015 - April 2015
SEAKR Engineering June 2014 - December 2014
Ag Leader Technology 1995 - November 2013
Ophir Corporation 1992 - 1995
Lockheed Martin 1980 - 1992
Skills
SPI, Embedded C, I2C, Embedded Systems, Power Electronics, Analog Signal Processing, Motor Control, ESD control, EMC compliance, Analog Circuit Design, PCB design, Matlab, Thermal Analysis, Worst Case Analysis
Education
University of Colorado Boulder 1993 — 1994
12 hours graduate-level classes in software project management
John Brown University 1980 — 1985
BSEE, Electrical and Electronics Engineering
SEAKR Engineering August 2014 - Present
Quantum December 1999 - January 2014
Sanmina September 1997 - December 1999
Power Computing November 1996 - September 1997
Apple January 1995 - May 1996
Skills
Testing, Engineering, Six Sigma, Lean Manufacturing, Manufacturing, Process Engineering, Start-ups, Manufacturing..., Product Development, Continuous Improvement, Hardware, SPC, FMEA, Project Management
Education
University of Colorado Colorado Springs 1991 — 1994
Master of Business Administration (M.B.A.), Operations Management and Supervision
Georgia Institute of Technology 1976 — 1981
Bachelor's degree, Industrial Engineering
RMSMC May 2014 - Present
Hall Interactive Solutions December 2011 - Present
SEAKR Engineering June 2014 - August 2014
RMSMC January 2014 - May 2014
Target May 2011 - January 2012
University of Colorado January 2011 - May 2011
Skills
Java, C++, Visual C#, MySQL, HTML, CSS, Python, PHP, Unix, Mac OS, Windows, Photography, Photoshop, Friendly Personality, Hardwork, Computer Hardware, Social Skills, Team Leadership
Education
Colorado State University 2014 — 2016
BS In Progress, Computer Engineering
Red Rocks Community College 2011 — 2013
Associate of Science (AS), Transfer Degree
SEAKR Engineering July 2015 - Present
United Launch Alliance June 2007 - Present
The Trane Company April 1996 - August 2002
Grimsley, White & Company CPAs April 1994 - April 1996
Skills
Engineering Management, Aerospace, Engineering, Testing, Systems Engineering, Integration, Avionics, Requirements Management, Launch Vehicles, Satellite, Configuration Management, Six Sigma, Embedded Systems, System Design, DoD, Security Clearance, Spacecraft, Aircraft, Space Systems
Education
Colorado State University 2002 — 2006
Master's Degree, Mechanical Engineering
Colorado State University-Pueblo 1989 — 1992
BSBA, Accounting
General Dynamics Mission Systems May 2014 - Present
SEAKR Engineering July 2012 - May 2014
Xilinx January 2007 - July 2012
Echostar Satellite Services L.L.C. December 2005 - January 2007
Skills
Verilog, FPGA, VHDL, ModelSim, Chipscope Pro, Oscilloscope, Embedded Systems, Perl, TCL, PlanAhead, Xilinx ISE, Synplify Pro, Timing Closure, Pspice, Logic Analyzer, Spectrum Analyzer, Circuit Design, Questasim, Vivado, FPGA Design, Electrical Engineering, SystemVerilog, C++, Labview, Hyperlynx, AutoCAD, Matlab, Mathematica, Multimeter, Problem Solving, Customer Service, System Verilog, Verification, Power Optimization, DX Designer, Digital Signal..., Xilinx Vivado, Hardware Architecture, PCB Design, Testing, Xilinx, Simulations, RTL Design, Debugging
Education
Colorado School of Mines 2001 — 2005
Bachelor of Science (B.S.), Electrical Engineering
Lockheed Martin February 2014 - Present
SEAKR Engineering February 2012 - February 2014
Free Space Research June 2010 - February 2012
Vexcel (A Microsoft Company) March 2008 - December 2010
Lockheed Martin February 2006 - March 2008
Remote Sensing Laboratory April 2002 - February 2006
Skills
Systems Engineering, Linux, Software Engineering, C++, Integration, Embedded Systems, Algorithms, FPGA, Remote Sensing, Matlab, Electromagnetic Modeling, Digital Signal..., Xilinx System Generator, Xilinx ISE, VHDL, Verilog, Java, Simulink, Fixed Point..., PCB Layout, Schematic Capture, Phenomenology, RF Design, Digital Design, Communications, Waveform Design, Cryptography, Program Management, Qt, IDL, Agilent ADS, Ansoft HFSS, ModelSim, Research, Tomography, Nonlinear Dynamics, Spread Spectrum, CNC operation, Manufacturing, Radar, RF, Sensors, Image Processing
Education
University of Kansas 2003 — 2005
MSEE, Radar and Remote Sensing
University of Kansas 2000 — 2003
BSEE, RF
Santa Clara University 2006 — 2007
NOT FINISHED, Electromagnetics
SEAKR Engineering May 2015 - August 2015
Columbia University Public Safety August 2013 - November 2014
Family Trucks and Vans May 2014 - September 2014
Skills
Electrical Engineering, Public Speaking, Mathematics, Community Service, Conflict Resolution, Inspirational Speaking, Applied Mathematics, Critical Thinking, Tutoring, Business, Statistics, Java, Graphic Design, Algorithms, Motivational Speaking, Matlab, Mathematica, Microsoft Office, Event Planning
Education
Columbia University - Fu Foundation School of Engineering and Applied Science 2012 — 2016
Bachelor of Science (BS), Electrical Engineering, Sophomore
J.K. Mullen High School 2008 — 2012
Honors Diploma
Booz Allen Hamilton February 2015 - Present
University of Alabama in Huntsville August 2012 - December 2012
Univ. of Alabama in Huntsville June 2011 - August 2012
University of Alabama in Huntsville September 2010 - May 2011
SEAKR Engineering June 2010 - August 2010
Skills
UAV, Numerical Analysis, Aerodynamics, Matlab, Microsoft Word, PowerPoint, Navy, Data Analysis, Solidworks, Engineering
Education
University of Alabama in Huntsville 2011 — 2012
Master of Science (MS), Mechanical/Aerospace Engineering
University of Alabama in Huntsville 2007 — 2011
Bachelor of Science (BS), Mechanical/Aerospace Engineering
SEAKR Engineering February 2012 - Present
Ball Aerospace & Technologies Corp. January 2004 - March 2012
Flagstaff House Restaurant 1989 - 1993
Skills
Aerospace, Defense, MRP, Electronics, Planning, Procurement, Excel, Project Planning, Manufacturing, Engineering, Lean Manufacturing, Six Sigma, Continuous Improvement, Engineering Management, Earned Value Management, Process Improvement, Program Management
Education
University of Colorado at Boulder
SEAKR Engineering June 2014 - Present
Black Magic Design - Teranex Systems February 2003 - Present
Philips Semiconductor August 2002 - October 2002
Transwitch Corporation February 2001 - July 2002
Philips Consumer Electronics January 1998 - January 2001
Northrop Grumman Norden Systems January 1986 - January 1998
Skills
Digital Signal..., Embedded Systems, FPGA, Video Processing, PCB design, Signal Processing, Image Processing, Debugging, Hardware Architecture, ASIC, Analog, Processors, Systems Engineering, VHDL, Firmware, SoC, Orcad, Xilinx
Education
Polytechnic University 1994 — 1995
Master of Science, Electrical Engineering
Fairfield University School of Engineering 1988 — 1993
Bachelor of Science, Electrical Engineering
Norwalk State Technical College 1983 — 1985
Associate of Science, Electrical Engineering
McGhee Productivity Solutions August 2015 - Present
Kim L. Miles LLC December 2007 - August 2015
Papillon MDC January 2014 - July 2015
Transition Coaches LLC December 2007 - June 2011
Black & Veatch May 2006 - July 2010
Northrop Grumman Mission Systems April 2005 - April 2006
SEAKR Engineering 2003 - 2005
At&T Broadband August 2000 - December 2002
Enscicon Corporation May 1999 - August 2000
Black & Veatch November 1996 - April 1999
Skills
Coaching, Project Management, Business Process, Business Analysis, Management Consulting, Employee Training, Business Coaching, Leadership Development, Public Speaking, Training, Program Management, Small Business, Organizational..., Leadership, Workshop Facilitation, Team Leadership, Process Improvement, Project Planning, Strategic Planning, Entrepreneurship, Team Building, Strategy, Executive Coaching, Personal Development, Performance Improvement, Business Development, Management, Recruiting, Marketing, Team Management, Executive Management, Procurement, Financial Analysis, Budgets, Proposal Writing, Business Process..., Contract Negotiation, Business Planning, Policy, Event Planning, Career Counseling, Analysis, Sales, Selling, Time Management, Access, Staff Development
Education
COACH U 2009 — 2013
ACP, Coaching
Deeper Conversations Coaching 2014 — 2014
University of Phoenix 2009 — 2010
Masters Coursework, MBA
COACH U 2007 — 2009
CEP, Coaching
Colorado School of Mines 1988 — 1993
Bachelor of Science (BS), Electrical Engineering
Exelis September 2012 - Present
ITT Geospatial Systems 2006 - September 2012
ITT Geospatial Systems 2005 - 2006
ISYS Technologies 2005 - 2005
SEAKR Engineering 1999 - 2005
Lockheed-Martin / United Space Alliance 1996 - 1996
Grumman Technical Services 1980 - 1986
Skills
Testing, Integration, Engineering, Manufacturing, Test Planning, Automation, Project Planning, Analysis, Software Quality..., Hardware, Military, Management, Test Equipment, Satellite, Air Force
Education
Indiana Institute of Technology 2012 — 2014
Kansas State University 1994 — 1997
BS, Electronic Engineering Technologies
Advanced Solutions Inc (CO) 2008 - Present
Quantum January 2001 - November 2008
SRC Computers March 2000 - December 2000
SEAKR Engineering April 1996 - March 2000
Gambro Renal Products March 1995 - March 1996
Lockheed Martin February 1990 - March 1995
Skills
Embedded Systems, C, C++, Software Engineering, VxWorks, Systems Engineering, Assembly, Software Development, Software Design, System Design, Engineering Management, Testing, System Architecture, Firmware, Embedded Software, Spacecraft, Aerospace
Education
Colorado State University 1984 — 1990
BS, MS, Engineering
University of Houston-Clear Lake
Lockheed Martin July 2005 - Present
Ball Aerospace & Technologies Corp. November 2003 - July 2005
SEAKR Engineering May 2003 - November 2003
Echostar Technologies Corporation May 2001 - May 2003
Lockheed Martin Space Systems Company June 2000 - May 2001
Bombardier Aerospace September 1998 - June 2000
United Space Alliance December 1996 - August 1998
Skills
Electrical Engineering, Test Planning, Avionics, Spacecraft, Systems Engineering, Testing, Aerospace, Integration, Requirements Analysis, Earned Value Management, Security Clearance, Unix, Electronics, System Testing, Linux, Hardware, MS Project, Windows, Troubleshooting, Visio, Shell Scripting, ClearCase, Test Equipment, Quality Assurance, Engineering Management, Configuration Management, Microsoft Project
Education
University of Colorado Denver 2004 — 2008
Master of Science, Computer Science
Regis University 2001 — 2003
Bachelor of Science, Computer Science
University of Central Florida 1994 — 1996
Bachelor of Science, Electrical Engineering Technology
St. Petersburg College 1990 — 1993
Associate of Science, Electronics Engineering Technology
SEAKR Engineering December 2014 - Present
LSI, an Avago Technologies Company 2006 - October 2014
Maxtor Corporation 2006 - 2006
Agere Systems 2003 - 2005
Texas Instruments 1996 - 2002
Skills
Static Timing Analysis, ASIC, Primetime, RTL design, SoC, RTL verification, Functional Verification, Verilog, VLSI, SystemVerilog, Timing Closure, DFT, Embedded Systems, EDA, FPGA, Physical Design, Integrated Circuit..., Logic Synthesis, IC, ModelSim, NCSim, RTL Design
Education
University of Colorado at Boulder 1988 — 1993
BS, Electrical and Electronics Engineering
SEAKR ENGINEERING March 2014 - Present
Treehouse Design April 2011 - August 2013
Ramtron International January 1993 - January 2011
Harris Semiconductor January 1987 - January 1991
Skills
IC, Semiconductors, Verilog, SoC, SystemVerilog, Analog, Timing Closure, Low-power Design, Cadence Virtuoso, Mixed Signal, VHDL
Education
University of Central Florida 1983 — 1986
BSEE
SEAKR Engineering May 2014 - Present
SEAKR Engineering February 2010 - April 2014
SEAKR Engineering November 2007 - January 2010
SEAKR Engineering June 2006 - October 2007
Helix Technology 2001 - 2005
Jefferson Lab 1997 - 2001
Rutgers University 1996 - 1997
University of Georgia 1993 - 1996
Skills
Aerospace, Vacuum Technology, Cross-functional Team..., Radiation, Physics, Engineering Management, Engineering, Radiation Effects, Component Engineering, Component Selection, Semiconductors, Microelectronics, Survivability, Experimental Physics, Satellite Systems, Space Radiation, Soft Upsets, Single Event Effects, Solid State Physics, DRAM, Engineering Physics, Ultra High Vacuum, Vacuum Instrumentation, Radiation Effects..., Patent Development, Electronics, Patents, Instrumentation, UHV, Vacuum, Sensors, Testing, Systems Engineering, Space Systems, Satellite, Program Management, Failure Analysis, Simulations, Spacecraft, System Design, Manufacturing, Optics, Mixed Signal, R&D, Product Development
Education
William & Mary 1989 — 1993
Ph.D., Physics - Experimental Nuclear Physics
Michigan State University 1986 — 1989
M.S., Physics
Xavier University 1982 — 1986
B.S., Applied Physics
SEAKR Engineering July 2014 - Present
Raytheon Missile Systems October 2012 - July 2014
Nokia Siemens Networks March 2011 - October 2012
Raytheon Space & Airborne Systems May 2000 - March 2011
Skills
High-speed Digital..., Signal Integrity, Power Integrity, PCB Board Layout, Schematic Capture, Hyperlynx, PCIe, Gigabit Ethernet, LTE, GSM, ASIC, FPGA, Mentor Graphics, Mixed Signal, Clock Distribution, PCB design, Testing, C++, Cross-functional Team..., Program Management, Strategic Partnerships, Management, Team Leadership, Marketing, Microsoft Excel, Project Management, Project Planning, Sales Operations, Marketing Strategy, Telecommunications, Market Research, Board Layout, Business Development, Strategy, Microsoft Office, Leadership, Start-ups, Social Media Marketing, PCB Design
Education
Southern Methodist University 2009 — 2014
Master of Science (MS), Applied Systems Engineering
Wentworth Institute of Technology 1998 — 2003
Bachelor of Science (BS), Electrical and Electronics Engineering
SEAKR Engineering January 2015 - Present
Boeing May 2014 - August 2014
The Lacek Group June 2013 - August 2013
The Lacek Group May 2012 - August 2012
Gary Adkins Associates, Inc May 2011 - August 2011
Greenleaf Elementary School May 2008 - August 2011
ISU Dining January 2011 - May 2011
Skills
Microsoft Office, Visual Basic, SharePoint, Customer Service, PowerPoint, Microsoft Excel, Microsoft Word, Data Analysis, Strategic Planning, Risk Management, Leadership
Education
Iowa State University 2010 — 2014
Bachelor's Degree, Finance
Eastview High School 2006 — 2010
SEAKR Engineering April 2014 - Present
Ink Illusions Screen Printing September 2012 - December 2013
Ecotech Institute October 2011 - December 2013
DST/URS December 2010 - June 2011
Solar Energy International (SEI) January 2011 - March 2011
KBR Inc June 2010 - December 2010
United States Marine Corps December 2008 - November 2009
United States Marine Corps December 2008 - November 2009
Colorado Pump Service and Supply Co. June 2007 - December 2008
United States Marine Corps February 2003 - May 2007
Skills
Security Clearance, DoD, Military Experience, Logistics, Military, Team Leadership, Military Operations, Transportation, Operational Planning, Team Building, Military Logistics, Operations Management, Force Protection, Procurement, Defense, Training, Troubleshooting, Leadership, Microsoft Office, Process Improvement
Education
Ecotech Institute 2011 — 2013
Associate of Arts and Sciences (AAS), Electrical Engineering Technologies/Technicians, Graduate
Ecotech Institute 2011 — 2013
Associate of Arts and Sciences (AAS), Renewable Energy Technology, Senior
Metropolitan College of Denver 2008 — 2008
Physics
UNITED STATES MARINE CORPS 2003 — 2010
Chief, Logistics
UNITED STATES MARINE CORPS RECRUIT TRAINING
DOUGLAS COUNTY HIGH SCHOOL 2000 — 2002
diploma
Self Employed October 2009 - Present
Goodrich ISR February 2010 - August 2010
Goodrich ISR February 2010 - August 2010
SEAKR Engineering 2009 - 2009
Ball Aerospace & Technology Corp. June 2001 - January 2008
Ball Aerospace June 2001 - January 2008
Siemens ElectroCom L.P January 1995 - January 2001
IFR Systems (Consulting) January 1996 - August 1996
Superconducting Super Collider January 1995 - January 1996
Sorrento Electronics January 1994 - January 1995
Skills
Technical expertise, Evaluation skills, Communication Abilities, German, Technical Writing, Proposal Writing, Teaching, Engineering, Database Administration, English, French, Aerospace, Electronics, Evaluation, Management, Sensors, Systems Engineering, GD&T, Manufacturing, Leadership, Procurement, Continuous Improvement, Program Management, Semiconductors, Simulations, Lean Manufacturing, Root Cause Analysis, Testing, FMEA, Communication, Project Management, Design for Manufacturing, Engineering Management, Manufacturing..., Composites, Catia, Six Sigma, Labview, Integration, Matlab, Solidworks, Finite Element Analysis, SPC, Materials, Databases, Earned Value Management, Spacecraft, Software Documentation
Education
Texas A&M University 1960 — 1965
MS, Organic Chemistry/Inorganic Chemistry
Bishop's University 1952 — 1956
BS, Chemistry/Physics
SEAKR Engineering September 2010 - Present
SEAKR Engineering October 2006 - September 2010
Skills
Testing, Software Engineering, C++, Web Development
Education
Brigham Young University 2007 — 2010
Sprint November 2014 - Present
Simply Sharp Events, LLC August 2014 - Present
SEAKR Engineering March 2014 - August 2014
SofTec Solutions March 2013 - January 2014
Connecting People, Inc. August 2012 - December 2012
System Integration Solutions(SIS) June 2011 - March 2012
Lewis & Fowler October 2010 - June 2011
The TriZetto Group September 2009 - October 2010
Global Technology Resources January 2007 - October 2008
KPMG LLP February 2006 - January 2007
Skills
Visio, SharePoint, Project Management, MS Project, Project Coordination, Access, Vendor Management, Project Status Reporting, Customer Service, Change Management, Management, Process Flow..., Full project lifecycle..., Readiness Assessments, Managing Project Budgets, Excel, ITIL Process..., Subcontracts Management, Resource Management, Multiple Project..., Software Projects, PowerPoint, Word, Clarity, Taleo, Hyperion, Concur, JAMIS, Project Support, Travel Management, Expense Management, Event Planning, Reporting & Analysis, Facilities Coordinator, Financial Reporting, Project Communications, IT Service Management, Technical Project..., Deltek, Salesforce.com, Analysis, Business Analysis, Budgets, Microsoft Project, Microsoft Excel, Program Management, Training, Process Improvement, Leadership, Cross-functional Team...
Education
University of Denver - University College 2007 — 2009
Master's Program M.A.S
University of Colorado Boulder 1998 — 2003
Arts & Sciences Program B.A
SEAKR Engineering February 2008 - Present
Wacari 2008 - 2009
Ball Aerospace & Technology Corp. 2000 - 2007
City & County of Broomfield February 2001 - November 2004
Education
Montana State University-Bozeman 1978 — 1982
BS, Electrical Engineering
SEAKR Engineering August 2015 - Present
NSERC 2009 - Present
Skills
Matlab, Microsoft Office, Electronics, Microsoft Excel, PowerPoint, Linux, C++, Entrepreneurship, Electrical Engineering, PCB design, Program Management, Business Analysis, Analysis, Troubleshooting, Government, Project Management, Project Planning, Engineering, Research, Testing, Systems Engineering
Education
The University of North Dakota 2004 — 2009
Bachelors, Electrical Engineering
Deep Space Systems Inc. October 2014 - Present
SEAKR Engineering February 2014 - September 2014
Sierra Nevada Corporation February 2013 - February 2014
Clever Devices March 2012 - February 2013
Lockheed Martin Space Systems Company September 2010 - March 2012
Lockheed Martin Space Systems Company May 2005 - September 2010
Lockheed Martin Space Systems Company June 2002 - May 2005
Lockheed Martin July 2001 - June 2002
Skills
Unix, Fault Management, Systems Engineering, Requirements Management, Software Engineering, Spacecraft, System Design, Requirements Analysis, Technical Writing, Program Management, Testing, Integration, Software Development, System Architecture, Aerospace, Simulations, Requirements..., Process Improvement, Tennis, Table Tennis, Squash, Volleyball, Cooking, Telelogic DOORS, IBM DOORS, Rational DOORS, Microsoft Office, Problem Solving, Satellite, Troubleshooting, Systems Design
Education
University of Denver 2005 — 2009
Master of Science, Computer Science and Systems Engineering
Haverford College 1997 — 2001
Bachelor of Science, Computer Science
GameStop September 2015 - Present
Energy & Exploration Partners November 2014 - Present
Crestwood Midstream Partners LP March 2014 - Present
Winters Coast to Coast / C2C Environmental February 2012 - March 2014
Stay At Home Mother April 2008 - February 2012
SEAKR Engineering March 2007 - April 2008
MWH January 2006 - December 2006
ZettaCore, Inc. January 2005 - December 2005
Skills
Accounts Payable, Vendor Management, Customer Service, Process Improvement, Fixed Asset Management, Microsoft Office, Management, Treasury Services, Microsoft Excel, PowerPoint, Accounts Receivable, Travel Scheduling, Product Development, Leadership, Consulting, Project Management, Strategic Planning, Program Management, Engineering, Analysis, Team Building, W9 Processing
Education
Purchase College, SUNY 1994 — 1998
SEAKR Engineering 2015 - Present
Intel Corporation 2014 - 2015
Tensorcom 2014 - 2014
Intel 2012 - 2013
PMC-Sierra 2011 - 2012
Intel 2011 - 2011
Northwest Logic 2010 - 2010
MIPS Technologies 2009 - 2010
Intelleflex 2009 - 2009
Boeing 2008 - 2008
Skills
UVM, Functional Verification, PCIe, Specman, ASIC, SystemVerilog, SoC, Verilog, FPGA, VLSI, EDA, Debugging, ModelSim, VHDL, Processors, IC, TCL, Simulations, Logic Design, NCSim, Hardware Architecture, SPICE, Mixed Signal, SystemC, VHDL-AMS, Cadence, SERDES, Analog, Open Verification..., VMM, Simulation
Education
Oregon State University 1984 — 1986
BSEE
Jet Propulsion Laboratory March 2013 - Present
SEAKR Engineering June 2012 - January 2013
University of Colorado at Boulder August 2010 - June 2012
NASA September 2007 - August 2010
University of North Florida May 2005 - August 2007
Publix Super Markets July 2003 - June 2005
Skills
Mechanical Engineering, Finite Element Analysis, Matlab, Pro Engineer, AutoCAD, C, Aerospace, Research, Microsoft Office, Nastran, PTC Creo, SolidWorks, LabVIEW, GD&T, NX Nastran, FEA, FPGA, Verilog, Analog Circuit Design, Machining, Private Piloting
Education
University of Colorado at Boulder 2010 — 2012
Masters of Science, Mechanical Engineering
University of North Florida 2003 — 2007
Bachelor of Science, Mechanical Engineering
Sandalwood High School 1999 — 2003
High school diploma, General Education
SEAKR Engineering February 2011 - Present
Colorado Engineering Inc April 2009 - February 2011
Atmel Corporation March 2008 - December 2008
Skills
PCB design, Schematic Capture, Digital Hardware Design, Hardware Development, Digital Design, VHDL, C, C++, Verilog, Test Planning, System Testing, Digital Electronics, Testing, Firmware, Integrated Circuit..., PCB Design
Education
University of Colorado at Boulder 2013 — 2016
Masters of Science, Law
University of Colorado Colorado Springs 2004 — 2009
BSEE, Electrical Engineering and Math
University of Colorado 2004 — 2008
Bachelor of Science, Electrical Engineering
SEAKR Engineering January 2014 - Present
Echostar Technologies L.L.C. May 2007 - January 2014
Laboratory of Applied Electromagnetics and Communications, SDSM&T May 2006 - May 2007
Rushmore Engineering December 2005 - May 2006
Skills
RF, Analog Circuit Design, Antennas, Wireless, Hardware Architecture, Testing, PCB design, Embedded Systems, Simulations, Electrical Engineering, Electronics, Bluetooth, Embedded Software, Microwave, Engineering Management, Spectrum Analyzer, Analog, Circuit Design, RF Design, Engineering, Debugging, PCB Design
Education
South Dakota School of Mines and Technology 2004 — 2007
BS in Electrical Enginnering
South Dakota School of Mines and Technology 2002 — 2007
BS, Electrical Engineer
Abrasive Blast Systems April 2015 - Present
Blue Beacon International, Inc. January 2013 - April 2015
SEAKR Engineering May 2011 - January 2013
Kansas State University SMART Laboratory January 2010 - May 2011
Kansas State University Nanotechnology Science and Engineering Laboratory January 2010 - May 2010
The Monarch Cement Company June 2008 - August 2008
Education
University of Colorado at Denver 2012 — 2014
Masters in Business Administration (MBA), Specializations in Marketing & Entrepreneurship
Kansas State University 2006 — 2011
B.S, Mechanical & Nuclear Engineering
Czech Technical University 2008 — 2009
BS, Mechanical Engineering
Dartmouth College April 2015 - Present
Dartmouth College September 2012 - Present
SEAKR Engineering June 2014 - August 2014
Snomatic September 2013 - November 2013
Highland and Franzen Excavation June 2010 - October 2011
Skills
SolidWorks Certified..., Microsoft Office, Teamwork, English, Matlab, Microsoft Excel, Microsoft Word, PowerPoint, Research, Social Media, Public Speaking, Solidworks, C, VHDL
Education
Dartmouth College 2011 — 2016
Bachelor of Engineering (BEng), Mechanical Engineering
Dartmouth College 2011 — 2015
Bachelor’s Degree, Engineering Sciences (BA), Classical Archeology Minor
University of Colorado Boulder 2012 — 2012
Colorado Army National Guard August 2015 - Present
Colorado Army National Guard January 2014 - August 2015
SEAKR Engineering June 2014 - August 2014
Colorado Army National Guard February 2013 - December 2013
Sierra Nevada Corporation January 2012 - July 2013
Sierra Nevada Corporation June 2009 - January 2012
Colorado Springs Utilities May 2008 - August 2008
Skills
Systems Engineering, Electrical Engineering, Aerospace, Engineering, Engineering Management, Simulations, Space Systems, Project Management, Control Systems Design, Matlab, Labview, Security Clearance, System Design, AutoCAD, Microsoft Office, Leadership
Education
University of Colorado Colorado Springs 2013 — 2015
Master of Science (M.S.), Electrical and Electronics Engineering
Colorado School of Mines 2003 — 2009
Bachelor of Science (B.S.), Electrical and Electronics Engineering, 3.387
Fluuent Business Process Management January 2015 - Present
SEAKR Engineering March 2009 - Present
Spyre Shoppes, LLC January 2011 - June 2015
GE Transportation September 2012 - February 2013
Harris Corporation January 2009 - February 2009
Continental Commercial Products August 2008 - January 2009
NICH Properties June 2007 - August 2008
Harris Corporation March 2004 - June 2007
AAA National 2003 - 2004
Skills
Six Sigma, Aerospace, Process Improvement, Testing, Program Management, Quality Assurance, Requirements Analysis, Systems Engineering, System Design, Continuous Improvement, Lean Manufacturing, Project Management, Engineering, Engineering Management, Cross-functional Team..., Integration, Management, Leadership
Education
Southern Illinois University, Edwardsville 1998 — 2002
Bachelors of Science, Management Information Systems
Taylorville High School 1994 — 1998
White Girl Sunscreen June 2015 - Present
I Do Weddings not Marriage September 2013 - Present
I Do Social Marketing Agency January 2012 - Present
I Do Social Marketing Agency December 2011 - Present
Weinstein Law Firm October 2013 - December 2014
Weinstein Law Firm September 2012 - January 2014
Sweet Samba Spa and Boutique October 2012 - September 2013
SEAKR Engineering June 2007 - September 2007
Skills
Event Management, Event Planning, Sales, Customer Service, Social Networking, Beauty Industry, Marketing, Fashion, Facebook, Social Media Marketing, Brand Development, Social Media, Entrepreneurship, Inventory Management, Sales Management, Corporate Events, Wedding Planning, Consumer Behaviour, Trend Forecasting, Google Adwords, Blogging, Financial Forecasting, Social Media Consulting, Email Marketing, Marketing Communications, Online Advertising, Google Analytics, Brand Management, Pinterest, Corporate Social Media, Google, Instagram, Online Social Networking, Leadership
Education
Georgia State University 2014 — 2017
Bachelor of Business Administration (B.B.A.)
Georiga Perimeter College 2013 — 2014
Associate's Degree, Business Administration and Management, General
Union County Highschool 2005 — 2009
Highschool Diploma 2005, 2009
SEAKR Engineering April 2015 - Present
United States Air Force April 2013 - April 2015
United States Air Force April 2011 - April 2013
United States Air Force May 2010 - April 2011
United States Air Force April 2009 - May 2010
United States Air Force August 2007 - April 2009
United States Air Force June 2003 - August 2007
Skills
Systems Engineering, Spacecraft, Integration, Avionics, Air Force, Space Systems, Security Clearance, Aerospace, Program Management, DoD, Earned Value Management, C4ISR, Information Assurance, Engineering, Physics, Military, Defense, Aerospace/Defense, Cross-functional Team..., Launch Vehicles, Government Contracting, System Testing, Requirements Management
Education
U.S. Air Force Institute of Technology 2007 — 2009
MS, Electrical Engineering, GPA 3.6/4.0
Iowa State University 1998 — 2003
BS, Electrical Engineering, GPA 3.2/4.0
U.S. Air Force Air University
Certificates in Military Operational Art & Science
Shen Milsom & Wilke March 2015 - Present
Shen Milsom & Wilke September 2013 - March 2015
Colorado Space Grant January 2010 - May 2010
SEAKR Engineering June 2009 - July 2009
Skills
Computer Hardware, Programming, Project Management, C, Data Analysis, VBA, C++, Matlab, Excel Macros, SQL, Linux, SolidWorks, Microsoft Excel, Java, Revit, AutoCAD, Bitcoin, LTSpice, Arduino, Telecommunications..., Windows, PowerPoint, MSP430, Microsoft Office, Microsoft Word, Machine Shop
Education
University of Colorado at Boulder 2009 — 2013
Bachelor of Science (BS), Electrical and Computer Engineering
Grandview High School 2005 — 2009
SEAKR Engineering November 2010 - Present
Probing Technology Inc. June 2009 - April 2010
Skills
FPGA, PCB design, Hardware Architecture, PCB Design, VHDL, Simulations, Testing, Signal Integrity, Xilinx, Systems Engineering
Education
Montana State University-Bozeman 2002 — 2009
B.S., Electrical Engineering
SEAKR Engineering April 2007 - Present
Education
Colorado School of Mines 2002 — 2006
Bachelor of Engineering (B.E.), Electrial and Mechanical Engineering
SEAKR Engineering October 2014 - Present
Aerotek February 2013 - January 2014
Lockheed Martin Space Systems Company 2010 - 2012
Lockheed Martin Space Systems Company October 2000 - July 2010
Skills
Process Improvement, Process Management, Technical Writing, Professional Writing, Data Analysis, Requirements Analysis, Technical Documentation, Research, Team Building, Problem Solving, Collaborative Problem..., Microsoft Office, Rational DOORS, Risk Management, Requirements Management, Integration, Analysis, Systems Engineering, Software Documentation, Coordinate Meetings
Education
University of Alabama 1997 — 2000
MS, Physics
The University of Texas at Austin 1991 — 1997
Bachelor's degree, Astronomy
SEAKR Engineering June 2015 - Present
Encompass Technologies, LLP January 2015 - May 2015
Covidien May 2014 - August 2014
Blue Ink Studios June 2010 - December 2012
Sciential Supply and Equipment May 2011 - August 2012
Skills
Software Verification, Medical Devices, Signal Processing, Microscopy, Electromagnetics, Optical Materials, Microelectronics, Cadence Virtuoso, Optoelectronics, C++ Language, Optical Devices, Fourier Optics, Laser Physics, Holography, UVM
Education
Colorado State University 2012 — 2015
Bachelor of Science (B.S.), Electrical Engineering
University of Colorado at Boulder 2009 — 2011
SEAKR Engineering April 2014 - Present
Jeppesen February 2014 - April 2014
Wings Over the Rockies Air and Space Museum March 2013 - 2014
Metropolitan State University of Denver May 2011 - December 2013
Jeppesen June 2012 - September 2012
Xorail July 2009 - March 2010
Oregon Department of Transportation July 2006 - March 2009
BAE Systems Spectral Solutions LLC April 2000 - April 2006
US Army January 1990 - August 1992
Skills
Aerospace, Technical Writing, Aviation, Matlab, AutoCAD, Program Management, Systems Engineering, GIS, Human Factors, Electronics, Piloting, Microsoft Office, STK, CAD, Training, Government, Military, ArcGIS, Simulations, Engineering, HTML, Single Engine Land, Access, Satellite Tool Kit, Private Pilot, Blogging, Social Media, Security Clearance, DoD, Photoshop, Visual Basic, Data Analysis, Process Improvement, Proposal Writing, System Design, Geographic Information..., Microstation, Media Graphics, Podcasting, Electro-mechanical, Surveying, Model Based Systems..., Iso 9000, MIL-STD, Quality Assurance..., Document Management, Mechanical Desktop, Schematic Capture, GD&T, Digital Mapping
Education
Embry-Riddle Aeronautical University 2015 — 2017
Master's of Science, Unmanned Aerospace Systems Engineering, Space Systems Design
Metropolitan State University of Denver 2011 — 2013
Bachelor of Science (B.S.), Aerospace Operations, Space Commercialization, G.P.A. 3.86/4.0, Provost's List
Payfone August 2014 - July 2015
TEKsystems 2015 - 2015
SEAKR Engineering April 2014 - August 2014
QEP Resources, Inc. October 2013 - January 2014
Ascension Health April 2013 - October 2013
Paneve October 2012 - August 2013
Gimmal Group, Inc. August 2012 - March 2013
CenturyLink Business September 2012 - January 2013
FLSmidth May 2012 - July 2012
EPIC Loan Systems September 2011 - March 2012
Skills
Technical Writing, Online Help, Technical Documentation, Content Management, FrameMaker, Software Documentation, Unix, Management, Content Development, Adobe Acrobat, Web Content, Editing, Proposal Writing, DITA, System Administration, Visio, Information Architecture, RoboHelp, Instructional Design, Agile Methodologies, SharePoint, XML, HTML, Usability, Enterprise Software, Cloud Computing, SaaS, Dreamweaver, Hardware, Software Development, Scrum, Solaris, Java, Perl, Testing, SDLC, Integration, Requirements Analysis, Linux, Documentation
Education
Humboldt State University
BA, Journalism
Williamson High School
Williamson High School
Main Street Renewal January 2015 - Present
Main Street Renewal May 2014 - January 2015
Main Street Renewal March 2014 - May 2014
AchieveCard August 2013 - March 2014
Tutors with Computers, LLC May 2013 - August 2013
Tutors with Computers, LLC May 2012 - January 2013
Tutors with Computers, LLC July 2010 - May 2012
ResCare December 2009 - July 2010
SEAKR Engineering June 2007 - October 2009
TrueLogic Financial 2006 - 2007
Skills
Recruiting, Onboarding, Employee Benefits, Human Resources, Talent Management, Benefits Negotiation, Staffing Services, Payroll, Leadership Development, Coaching, Customer Service, Employee Engagement, Interviews, Employee Relations, Talent Acquisition, Background Checks, HR Policies, HRIS, Training, Technical Recruiting, System Administration, Hiring, New Hire Orientations, Temporary Placement, Applicant Tracking..., Benefits Administration, Sourcing, Team Building, College Recruiting, ADP Payroll, Recruitment Advertising, Leadership, Management, Program Management, Personnel Management, Employment Law, Screening, Employee Training, Internet Recruiting, Time Management, Performance Management, Workforce Planning, Resume Writing, Succession Planning, Job Fairs, Screening Resumes, Taleo, Career Development
Education
Arapahoe Community College
SEAKR Engineering June 2013 - Present
Eagle Ridge Academy May 2012 - May 2013
University of Northern Colorado August 2010 - May 2012
Weld County School District RE-1 March 2008 - July 2010
Skills
Physics, Science, Programming, Robotics, Electronics, Research, Troubleshooting, Data Analysis, Statistics, Radiation Effects, Linux, Python, C++, Information Technology, Program Management, Hardware, Data Visualization, Embedded Systems, Databases, Arduino, Analysis, Labview, Matlab, Higher Education, Teaching, College Teaching, Curriculum Development, Digital Photography, Curriculum Design, Software Development, Testing
Education
University of Northern Colorado 2007 — 2011
Bachelor of Science (B.S.), Physics
Aims Community College 2006 — 2007
SEAKR Engineering September 2012 - Present
University of Denver August 2011 - June 2012
Rockwell Collins November 2006 - July 2011
Rockwell Collins November 2006 - July 2011
Rockwell Collins November 2006 - July 2011
Technisource June 2006 - November 2006
Skills
C++, Embedded Systems, Doors, Project Planning, Software Design, Object Oriented Design, MS Project, ClearCase, RTOS, Real Time, Embedded Linux, Embedded Software, Software Project..., Software Engineering, Cross-functional Team..., Product Management, Team Leadership, Software Lifecycle..., Organizational Dynamics, Engineering Management, Technical Product..., Managing Technical...
Education
University of Denver 2011 — 2012
Masters of Engineering, Computer Engineering and Engineering Management
Iowa State University 2007 — 2008
MS, Computer Engineering
University of Denver 1999 — 2006
B.S., Computer Engineering
St. Mary's Hall
SEAKR Engineering June 2011 - Present
Arizona State Referee Administration August 2003 - July 2011
Northern Arizona University January 2011 - May 2011
Northern Arizona University January 2011 - May 2011
Northern Arizona University January 2011 - May 2011
Northern Arizona University August 2010 - December 2010
Northern Arizona University January 2010 - May 2010
AMC Theatres March 2006 - August 2008
Skills
VHDL, FPGA prototyping, C/C++, Matlab, Microsoft Office, Computer Repair, Circuit Analysis, Circuit Design, Analog Circuit Design, PCB design, OpenCV, Embedded Systems, Digital Signal..., FPGA, C, Verilog, Electrical Engineering, Hardware Architecture, Signal Processing
Education
Northern Arizona University 2008 — 2011
Electrical Engineering
Micron Technology March 2012 - Present
SEAKR Engineering March 2011 - March 2012
Conduant Corporation June 2009 - March 2011
Seagate Technology January 2006 - January 2009
Maxtor January 2000 - January 2006
Maxtor January 1996 - January 2000
StorageTek September 1979 - May 1996
Skills
PowerPoint, Spectrum Analyzer, ASIC, Failure Analysis, Electronics, SCSI, Assembly, FPGA, Storage, Hardware, Hard Drives, Testing, SATA, Debugging, Computer Hardware, Troubleshooting, Six Sigma, Logic Analyzer, PCB design, SSD, Signal Integrity, Oscilloscope, ARM, Microprocessors, GPIB, Circuit Design, Digital Signal..., Mixed Signal, Design of Experiments, Engineering, Assembly Language, Labview, PCIe, Fibre Channel, Xilinx, Firmware, Engineering Management, IC, SoC, Digital Electronics, Board Bring-up, Test Equipment, Embedded Systems, Hardware Architecture, USB
Education
Warren National University 2006 — 2008
BS, Computer Science
Regis University
English classes
Denver Institute of Technology
AS, Electronics Engineering Technician
The WhiteWave Foods Company April 2014 - Present
Norgren June 2012 - April 2014
SEAKR Engineering August 2011 - June 2012
Molecular Devices February 2011 - July 2011
Molecular Devices (now part of Danaher Corp) 2009 - February 2011
MDS Analytical Technologies 2007 - 2009
Molecular Devices Corporation July 2006 - 2007
Skills
Inventory Management, Vendor Management, Microsoft Excel, Lean Manufacturing, Six Sigma, ERP Systems, Master Scheduling, Production Planning, Mathematics, Process Improvement, ERP, Supply Chain Management, SAP, Cross-functional Team..., Sourcing, Software Documentation, Management, Kanban, Purchasing, Supply Chain, Forecasting, Materials Management, Manufacturing, Procurement, Project Planning, Product Management, Strategy, MRP, ISO, Kaizen, Leadership, Process Engineering, Program Management, Supply Chain...
Education
California Lutheran University 2001 — 2005
B.S., Mathematics
Teradata Applications January 2014 - Present
Information Builders July 2012 - July 2013
CIBER, Inc. January 2011 - July 2012
CIBER, Inc. January 2011 - August 2011
IBM January 2009 - April 2010
Business Objects an SAP company December 2005 - June 2008
SAP December 2005 - June 2008
SAP BusinessObjects 2005 - 2008
SEAKR Engineering November 2000 - December 2005
Micros Systems August 1998 - October 2000
Skills
Leadership, Negotiation, Business Intelligence, Enterprise Software, Business Objects, Data Warehousing, SaaS, Professional Services, Pre-sales, ERP, Program Management, Integration, Business Analysis, Business Process, IT Strategy, Software Project..., Sales Management, Business Strategy, Talent Management, Team Leadership, Process Improvement, Solution Selling, Presenter, Cloud Computing, Dashboard, Change Management, Methodology, Data Management, Enterprise Information..., Enterprise Integration, Technology Roadmapping, Strategic Roadmaps, Mentoring, Partner Management, Project Management, Vendor Management, Mobile Devices, Cross-functional Team..., Consulting, PMO, IT Management, SAP, Management
Education
Texas Christian University
Southwest Research Institute January 2008 - Present
SEAKR Engineering June 1999 - December 2007
Skills
Radiation Effects in..., FPGA Design, FPGA, Simulations, Double Bass, Bass Guitar, Electronics, Signal Processing, Simulink, Labview, Analog Circuit Design, Data Acquisition, Embedded Systems, PCB design, Sensors, Xilinx, Engineering Management, Testing
Education
University of Cincinnati 1996 — 1999
MS, Electrical Engineering
University of Cincinnati 1989 — 1995
BS, Electrical Engineering
SEAKR Engineering December 2011 - Present
SEAKR Engineering July 2009 - December 2011
Systems Integration, Corp. November 2008 - July 2009
AIMCO August 2007 - November 2008
Skills
Program Management, Sales, Analysis, Process Improvement, Account Management, Cross-functional Team..., Project Management, Strategic Planning, Microsoft Office, Microsoft Excel, Team Leadership, Team Building, Customer Service, Project Planning, Management, Marketing, Leadership, Visual Basic, PL/SQL, Microsoft Project, Subcontracting, Contract Management, Contract Negotiation, Business Analysis, Business Intelligence, Business Process..., Macro, Forecasting, Cash Flow Forecasting, Financial Reporting, Report Writing, Reporting & Analysis, Cost Reporting, Proposal Writing
Education
University of Colorado Boulder 2003 — 2007
Bachelor's, Marketing
University of Colorado at Boulder - Leeds School of Business 2003 — 2007
Bachelor's Degree, Business Administration / Marketing
SEAKR Engineering March 2015 - Present
Neumann Systems Group Inc. April 2014 - January 2015
ARCADIS U.S. May 2011 - April 2014
U.S. Geological Survey (USGS) June 2008 - May 2011
Skills
Technical Writing, Chemical Engineering, Environmental Science, Remediation System..., Environmental Awareness, Groundwater, Remediation, Soil, Hydrogeology, Environmental Impact..., HAZWOPER, Data Analysis, Laboratory, Environmental..., AutoCAD, Environmental Compliance, Water, Petroleum, Microbiology, Soil Sampling, Engineering, Sampling, Water Quality, Environmental Consulting, Characterization
Education
Colorado School of Mines 2010 — 2011
M.S., Environmental Science and Engineering, 3.76/4.0
Colorado School of Mines 2006 — 2010
B.S., Chemical & Biochemical Engineering, 3.26/4.0
SEAKR Engineering
Skills
Customer Service, Microsoft Office, Microsoft Excel, Microsoft Word, Accounting, Management
Education
Community College of Aurora
Convergent Design January 2015 - Present
SEAKR Engineering April 2014 - January 2015
Xilinx April 2011 - April 2014
University of Denver January 2011 - June 2011
Xilinx July 2005 - March 2011
University of Denver January 2009 - June 2009
Xilinx 2000 - 2005
Xilinx 1998 - 2000
United States Air Force 1997 - 1998
L3 Communications 1996 - 1997
Skills
Verilog, VHDL, Embedded Systems, C, Microcontrollers, Xilinx, Microprocessors, FPGA, Processors, RTL design, SoC, Debugging, Xilinx ISE, Logic Synthesis, Embedded Software, TCL, ASIC, Testing, Hardware Architecture, EDA, Logic Design, Semiconductors, Digital Signal..., Integrated Circuit..., VLSI, ModelSim, Simulations, IC, PCB design, ARM, Static Timing Analysis, SystemVerilog, Signal Processing, C++, Computer Architecture, RTL Design
Education
University of Colorado Boulder 1999 — 2002
ME, Electrical Engineering
University of Utah 1992 — 1997
BS, Electrical Engineering
Google [x] July 2015 - Present
Google [x] January 2014 - June 2015
SEAKR Engineering November 2010 - August 2013
SAIC June 2007 - January 2011
Lockheed-Martin November 2003 - May 2007
Jet Propulsion Laboratory August 2000 - September 2003
Raytheon Polar Services Co. August 2001 - November 2002
Skills
DSP, FPGA, Communication Systems, Labview, Software Defined Radio, Synplify DSP, Xilinx System Generator, Matlab, STK, Simulink, Security Clearance, Network Analyzer, Spectrum Analyzer, Digital Signal..., Verilog, VHDL, Systems Engineering, Digital Signal..., RF, Testing, Sensors, PCB design, Simulations, Xilinx, Hardware, Test Equipment, Algorithms, Electrical Engineering, Embedded Systems, LabVIEW, PCB Design
Education
University of Michigan 1998 — 2000
MSEng
Michigan Technological University 1990 — 1994
BS
SEAKR Engineering March 2014 - Present
Arrow Electronics April 2013 - March 2014
Arrow Electronics July 2012 - April 2013
Arrow Electronics August 2006 - July 2012
Arrow Electronics May 2001 - August 2006
Arrow Electronics January 1998 - May 2001
Wyle Electronics January 1998 - November 2000
Gibson Guitars August 1993 - December 1997
Skills
SoC, IC, ASIC, Semiconductor Industry, Semiconductors, EDA, Electronics, Embedded Systems, FPGA, Hardware Architecture, Management, Microprocessors, Mixed Signal, Proactive self-starter, Processors, Project Management, Relationship Management, Static Timing Analysis, Strategic Partnerships, Team Building, Team Leadership, Timing Closure, Verilog
Education
Montana State University-Bozeman 1991 — 1997
BSEE
SEAKR Engineering January 2012 - Present
Skills
Program Management, Financial Control, Coordination, Systems Engineering, Spanish, International Business, Travel, Integration, Six Sigma, Process Improvement, DoD, Management, Project Management, Aerospace, Proposal Writing, Government, Earned Value Management, Satellite, Space Systems, Software Development, Spacecraft, Training, Simulations
Education
University of Denver - Daniels College of Business 2006 — 2010
MS
California Polytechnic State University-San Luis Obispo 2001 — 2005
BS
SEAKR Engineering December 2011 - Present
SEAKR Engineering March 2009 - December 2011
Starsys, Inc. / SpaceDev, Inc. August 2003 - November 2007
Fischer Imaging Corporation April 2002 - August 2003
Zolo Technologies October 2000 - April 2002
Skills
Systems Engineering, Engineering, FPGA, Engineering Management, Electronics, Simulations, Aerospace, Earned Value Management, Testing, Electrical Engineering, System Architecture, Embedded Systems, Signal Integrity, System Design, PCB design, Simulink
Education
Colorado School of Mines 1995 — 2000
Ph.D., Engineering Systems
Colorado School of Mines 1990 — 1995
B.S., Engineering - Electrical
SEAKR Engineering August 2012 - Present
Oracle / Sun Microsystems May 2008 - July 2012
SpaceDev / Starsys February 2006 - December 2007
Neumann Homes, Inc December 2004 - October 2005
Plasmon April 2003 - October 2004
Flextronics March 2000 - December 2002
Hewlett Packard March 1997 - March 2000
Maxtor March 1995 - March 1997
Honeywell / Alliant Techsystems / Group Technologies / FW Bell / Datatape. December 1984 - March 1995
Skills
Growth & Change..., Supply Chain..., Manufacturing Operations, Cost Avoidance &..., Program Management, Process Improvement &..., P&L Management, Domestic &..., Team Building &..., Material Requirements..., Contracting, Customer Relations, New Product Development, Project Planning /..., New Business Development, Quality Systems, Lean - DFT Manufacturing, Negotiations -..., Business Strategy, OEM, EM, Continuous Improvement, Transition Management /..., Single / Multi-site..., Sales & Operations..., Production Operations, Commodity Risk..., Inventory Management, Manufacturing, Product Lifecycle..., MRP, Cross-functional Team..., Management, Quality System, Six Sigma, ERP, Leadership, Product Development, Lean Manufacturing, Contract Negotiation, Project Planning, Outsourcing, Customer Service, Sourcing, Change Management, Process Improvement, Strategy, Team Building, Supply Management, Strategic Sourcing
Education
Colorado State University
BS, Industrial Technology
Webster University
MBA, Management
SEAKR Engineering September 2010 - Present
L-3 Communications Integrated Systems June 2004 - September 2010
Tutor.com August 2007 - April 2010
L-3 Communications Integrated Systems June 2002 - July 2003
POWER Engineers May 2001 - August 2001
Skills
VHDL, FPGA, Verilog, Hardware, System Design, Electrical Engineering, Systems Engineering, Embedded Systems, Xilinx, ModelSim, Simulations, Digital Signal..., Labview, Simulink, Hardware Architecture, Matlab, Electronics, Testing, Microcontrollers, Firmware, Debugging, System Generator, Xilinx ISE, MicroBlaze, Gigabit Ethernet, UDP, Logic Design, Oscilloscope, Circuit Design
Education
Southern Methodist University 2005 — 2010
M.S. Electrical Engineering, Digital Signal Processing
LeTourneau University 2000 — 2004
B.S. Engineering, Electrical Concentration
Montana State University-Billings 1999 — 2000
Pre-Engineering
SEAKR Engineering May 2008 - Present
SEAKR Engineering May 2007 - May 2008
Starsys/SpaceDev May 1999 - October 2006
Starsys Research 2003 - 2006
Zolo Technologies May 1997 - May 1999
Skills
Aerospace, Engineering Management, Manufacturing..., Earned Value Management, Program Management, Systems Engineering, DoD, Product Development, Cross-functional Team..., Government Contracting
Education
Colorado School of Mines
SummitCove.com March 2006 - Present
VT Miltope 2001 - 2006
SEAKR Engineering December 1996 - November 1999
Skills
Property Management, Product Development, Resorts, Project Management, Team Building
Education
Colorado State University 1991 — 1996
Bachelor of Science (BS), Electrical Engineering
SEAKR Engineering March 2015 - Present
JPL January 2010 - June 2014
JPL (NASA's Jet Propulsion Laboratory) June 2006 - January 2010
Skills
Systems Engineering, Communication Systems, Doors, Labview, Test Automation, Hardware Verification, Electronics, Digital Electronics, Integration Testing, Microsoft Office, Team Leadership, Testing, Racks, System Architecture, Technical Writing, Solidworks, Altium Designer, Xilinx, Verilog, Digital Design, PCB design, Chassis, Radar, UAV, Schematic Capture, Test Engineering, NI LabVIEW, Sensors, Simulations, System Design, Hardware Architecture, Digital Signal..., Circuit Design, Test Equipment, Signal Processing, Oscilloscope, Power Supplies, Network Analyzer, Digital Signal..., Soldering, Multisim, VHDL, Telelogic DOORS, Signal Generators, Xilinx ISE, Electronic Circuit..., Space Systems, Control Systems Design, FPGA
Education
Colorado State University-Pueblo 2002 — 2006
Bachelor of Science (BS), Electrical and Electronics Engineering
SEAKR Engineering August 2014 - Present
Lockheed Martin September 2013 - July 2014
SEAKR Engineering August 2011 - September 2013
Accelogic May 2011 - August 2011
Ciena December 2006 - February 2011
Honeywell Aerospace September 2001 - December 2006
Digital Lightwave April 1996 - September 2001
Texas Instruments January 1992 - April 1996
Engineering Technology, Inc. June 1988 - January 1992
Skills
Altera, FPGA, Xilinx, Verilog, VHDL, OTN, Hardware Design, CPLD, Fiber Optics, RTL design, ModelSim, Hardware, Telecommunications, SERDES, Hardware Architecture, Hardware Development, Gigabit Ethernet, Embedded Systems, ASIC, Ethernet, SDH, SystemVerilog, Electronics, Microcontrollers, Systems Engineering, IC, SystemC, Debugging
Education
The University of Texas at Dallas 1993 — 1994
MSEE, Optical Materials, Devices, and Systems
University of Central Florida 1988 — 1991
BSEE, Electrical Engineering
Intel Corporation December 2012 - June 2013
SEAKR Engineering March 2011 - August 2012
General Dynamics AIS June 2010 - October 2010
Sun Microsystems June 2009 - December 2009
t2design Incorporated October 2008 - May 2009
Sun Microsystems March 2008 - March 2009
Sun Microsystems March 2007 - July 2007
Freescale Semiconductor May 2006 - September 2006
Intel Corporation June 2005 - March 2006
Raytheon January 2005 - April 2005
Education
Arizona State University 1991 — 1993
United Health Group March 2014 - Present
SEAKR Engineering June 2012 - October 2013
Lockheed Martin January 2007 - June 2012
Accenture September 2005 - December 2006
CSG International October 2000 - June 2005
Qwest February 1999 - October 2000
Lockheed Martin March 1987 - January 1999
Lockheed Martin March 1987 - January 1999
Skills
Analysis, Program Management, Process Improvement, Financial Analysis, Risk Management, Military, Aerospace, Integration, Government, Proposal Writing, Requirements Analysis, Requirements Management, Information Assurance, Six Sigma, Project Management, Incurred Cost Submission
Education
Columbine
Regis University
Bachelor of Applied Science (BASc), Business Administration and Management, General
Scitor Corporation April 2015 - Present
SEAKR Engineering November 2014 - April 2015
United States Air Force February 2014 - November 2014
United States Air Force August 2011 - February 2014
United States Air Force September 2009 - July 2011
United States Air Force July 2007 - September 2009
Skills
Security Clearance, Systems Engineering, Flight Test, Program Management, Electrical Engineering, Matlab, Linux, Team Leadership, Project Management, C, Microsoft Office, DoD, Earned Value Management, Aerospace, Air Force, Engineering Management, Integration, Government, Testing, Engineering, Military
Education
Oklahoma State University 2009 — 2011
Masters, Business Administration
State University of New York at Binghamton 2004 — 2007
Bachelor of Science, Electrical and Electronics Engineering
Eaton July 2014 - Present
AAP Automation May 2013 - July 2014
Arrow Electronics August 2008 - November 2012
Lockheed Martin Corp. May 2004 - August 2008
SEAKR Engineering February 2001 - February 2004
Swisslog January 1999 - February 2001
Skills
Sales Engineering, Automation, Industrial Controls, Solution Focused, FPGA, Embedded Systems, Hardware Architecture, Microcontrollers, Electrical Engineering, Semiconductors, Engineering Management, Systems Engineering, Hardware, Project Management, Digital Signal..., Firmware, Mixed Signal, Digital Signal..., Circuit Design, Power Electronics, Digital Electronics, Power Supplies
Education
University of Colorado at Denver
MSEE, Digital Signal Processing, Control Systems
Metropolitan State University of Denver
BSEET, Embedded Systems, Digital Design
Trimble Navigation April 2012 - Present
Video Accessory Corp May 2008 - February 2010
SEAKR Engineering December 2007 - May 2008
Spectralink/Polycom February 2003 - June 2006
Skills
C, Assembly, Firmware, Embedded Software, Logic Analyzer, uCOS, pSOS, Debugging, RTOS, Embedded C, I2C, Software Development, Microcontrollers
Education
Stanford University 1980 — 1983
MS, Computer Engineering
Michigan State University 1974 — 1978
BS, Computer Science
SEAKR Engineering December 2009 - Present
CIBER, Inc. July 2007 - November 2009
Skills
Employee Relations, HR Policies, Benefits Administration, Human Resources, Recruiting, Talent Acquisition, Applicant Tracking..., Employee Benefits, Interviews, Security Clearance, New Hire Orientations, Personnel Management, Technical Recruiting, Policy, Deferred Compensation
Education
University of Colorado at Denver 2007 — 2008
Business Administration, Management, Human Resources Management
University of Colorado Boulder 2004 — 2008
Business, Management and Human Resources
SEAKR Engineering May 2011 - Present
Success Designs August 2011 - Present
AirPower West Ltd. October 2009 - September 2011
Rocky Mountain Aerial Surveys, Inc. June 2003 - June 2011
CaridianBCT November 2003 - May 2011
Gambro BCT 2003 - May 2011
1st Strike Design June 2003 - December 2008
Qualis Inc. February 2000 - June 2003
Biotronik September 1994 - February 2000
Micro Systems Engineering Inc September 1994 - February 2000
Skills
Verilog, SystemVerilog, FPGA, ASIC, VHDL, OVM, UVM, Verification Methodology, Training, Testing, V&V, Electronics, Manufacturing, Open Verification...
Education
Montana State University-Bozeman 1981 — 1985
BSEE, Electrical Engineer & Computer Science
Durham International LLC January 2006 - Present
SEAKR Engineering August 2002 - January 2006
McDATA August 2001 - August 2002
Skills
Engineering, Manufacturing, Solidworks, Commercial Photography, Photoshop, Strategic Planning, Product Development, Marketing, Materials, Team Building, Business Development
Education
Georgia Institute of Technology 1996 — 1999
Bachelor of Science, Mechanical Engineering
Georgia Institute of Technology 1996 — 1999
Bachelor of Mechanical Engineering
SEAKR Engineering February 2014 - Present
Covidien August 2008 - July 2013
OnCore January 2008 - August 2008
McData Corp 2003 - 2006
Exabyte Corporation 2000 - 2003
StorageTek 1992 - 2000
Skills
Software Documentation, Medical Devices, Design Control, FDA, Quality Assurance, ISO 13485, Quality System, CAPA, Product Launch, Change Control, Document Management, V&V, ISO 14971, Analysis, Validation, 21 CFR Part 11, DMAIC, FMEA, 21 CFR, Iso 9000, FDA GMP, ISO
Education
Regis University 1998 — 2000
Essentials of Business
SEAKR Engineering March 2012 - Present
Canon June 2010 - April 2012
Robinson Engineering August 2008 - June 2010
Jefferson Lab July 2007 - August 2008
Skills
Electrical Engineering, PLC, Electronics, C++, Programming, Testing, Troubleshooting, Engineering, Windows, VHDL, C, Embedded Systems, Manufacturing, Automation, Hardware, Software Development, Software Project..., Software Documentation, Software Engineering, Embedded Software, Workbench, Tornado, Test Automation, Regression Testing, System Testing, Automated Software..., VxWorks, Subversion
Education
Christopher Newport University 2003 — 2008
Bachelor of Science, Computer Engineering
SEAKR Engineering January 2010 - Present
SEAKR Engineering December 1998 - February 2005
Education
Metropolitan State University of Denver 1992 — 1998
Psychology, English
SEAKR Engineering February 2011 - Present
HID Global February 2008 - February 2009
Echostar December 1999 - February 2008
Education
Fairleigh Dickinson University
MBA, General Management
ViewRay, Inc. July 2015 - Present
Samsung Electronics April 2014 - Present
Erkonics, Inc. (formerly Erkonics Design) January 1995 - Present
Redhawk Communications Partnership 1981 - Present
Accuray, Inc. April 2013 - April 2014
SEAKR Engineering November 2011 - January 2013
Intel Corporation August 2011 - October 2011
MicroSystems, Inc February 2011 - July 2011
General Dynamics C4 Systems January 2010 - January 2011
BDTI October 2009 - December 2009
Skills
Product Development, FPGA, PCB design, Hardware Architecture, VHDL, Xilinx, Electronics, Verilog, Firmware, Debugging, ModelSim, Testing, Microprocessors, I2C, Digital Signal..., Simulations, Analog Circuit Design, System Design, Embedded Systems, Systems Engineering, ASIC, Hardware, PCB Design, Sensors, Integrated Circuit..., C
Education
School of the Museum of Fine Arts 1972 — 1974
Visual Arts, Ceramics
Sherborne Academy 1971 — 1972
Cont, Education Psychology
Massachusetts Institute of Technology Physics & Biology 1968 — 1971
SEAKR Engineering August 2007 - Present
University of Florida 2004 - 2007
Raytheon Polar Services Corporation October 1999 - February 2000
Skills
Simulations, IDL, Systems Engineering, Matlab, Aerospace, LaTeX, Testing, C, Radiation, Electronics, Engineering Management, Debugging, Xilinx, Image Processing, Space Systems
Education
University of Florida 2004 — 2007
M.S., Astronomy
University of Wyoming 2000 — 2003
B.S., Physics, Astronomy
Front Range Community College 1997 — 1999
A.S., Physics and Mathematics
Fort Morgan High School
Work January 2015 - Present
Work July 2012 - Present
SEAKR Engineering May 2006 - August 2012
American Animal Hospital Association April 1996 - August 2005
Dawn Food Products May 1994 - April 1996
Skills
Testing, ERP, Linux, Program Management, Software Documentation, Integration, Windows, Troubleshooting, Software Development, System Administration, Operating Systems, Microsoft SQL Server, SQL, Business Intelligence, Windows Server, Virtualization, Systems Engineering, Disaster Recovery, Agile Methodologies, Requirements Analysis, System Architecture, Software Project..., Cloud Computing, Database Design, Oracle, Databases, Active Directory, Crystal Reports, Servers
Education
Johnson & Wales University 2001 — 2003
Seagate Technology January 2013 - Present
Micron Technology January 2012 - January 2013
Seagate Technology January 2006 - January 2012
SEAKR Engineering June 2003 - January 2006
Gaming Laboratories International January 2002 - June 2003
Woodward Governor Company January 2002 - June 2003
Skills
Embedded Systems, Firmware, Electronics, Testing, FPGA, System Architecture, Simulations, Programming, Hardware, VHDL, Electrical Engineering, Python, Microprocessors
Education
Colorado School of Mines 1997 — 2001
Engineering - Electrical Specialty
SEAKR Engineering January 2012 - Present
Dayton-Granger, Inc 2010 - 2011
Georgia Institute of Technology 2006 - 2009
Prestige Equity 1999 - 2005
Motorola 1998 - 2005
US Army 1994 - 2005
Skills
Six Sigma, CAD, Matlab, Engineering, Engineering Management, Systems Engineering, Lean Manufacturing, Testing, Manufacturing, Labview, Electronics, Process Improvement, Continuous Improvement, Product Development, Design of Experiments, Design for Manufacturing, Quality Management, Project Planning, Mechanical Engineering
Education
Georgia Institute of Technology 2006 — 2009
MS, Materials Science and Engineering
Rose-Hulman Institute of Technology 1989 — 1994
Bachelor's Degree, Mechanical Engineering
SEAKR Engineering September 2012 - Present
Skills
Troubleshooting, Testing, Systems Engineering, Electronics, Program Management, Wireless Networking, Army, DoD, Satellite, Security Clearance, Management, Aerospace, Strategic Planning, Information Assurance, Earned Value Management, Military, Engineering Management, Configuration Management, Proposal Writing, Integration, Military Operations, Visio, RF, Satellite Communications, MS Project, PMP, Defense, Military Experience, System Design
Education
Colorado Technical University 2012 — 2013
Master of Business Administration (MBA), Project Management
Colorado Technical University 2008 — 2013
Master's degree, Project Management
Colorado Technical University 2009 — 2012
Bachelor's degree, Project Management
Southern Nevada Vocational Technical Center 1997 — 2001
2 year, Electrical and Electronics Engineering
SEAKR Engineering May 2007 - Present
Advanced Digital Information Corp June 2004 - January 2006
Quantum January 1996 - April 2001
Exabyte 1995 - 2001
Storage Technology May 1993 - January 1995
Intellistor April 1991 - March 1993
Skills
Firmware, Embedded Systems, C++, C, Storage, VxWorks, Embedded Software, Software Engineering, SCSI, CVS, Software Development
Education
University of Colorado Denver 1985 — 1990
BS, Electrical Engineering
Lafayette College 1971 — 1975
BA, Geology
SEAKR Engineering April 2015 - Present
STV/GWD July 2013 - April 2015
Standard Parking / Pacific Park Management June 2012 - July 2013
KPMG, LLP February 2010 - March 2012
ISEC, Inc. August 2005 - March 2009
Metropolitan Club November 2002 - August 2004
Skills
Payroll, Employee Benefits, Microsoft Office, Customer Service, Microsoft Excel, Management, Recruiting, Administrative..., Process Improvement, Microsoft Word, Interviews, New Hire Orientations, Employee Relations, Outlook, Data Entry, Training, Onboarding, Internet, Administrative Support
Education
University of Phoenix 2004 — 2006
Bachelor of Science, Information Technology
Arapahoe Community College 2000 — 2002
Associates of Applied Science, Paralegal
Community College of Aurora
Human Resources Management/Personnel Administration, General
SEAKR Engineering April 2012 - Present
Rockwell Collins July 2007 - February 2012
Rose-Hulman Ventures August 2006 - May 2007
Rockwell Collins March 2006 - August 2006
Rockwell Collins June 2005 - August 2005
Rockwell Collins August 2004 - November 2004
Skills
Testing, PCB design, Debugging, Schematic Capture, FPGA, Hardware, VHDL, Digital Signal..., Mixed Signal, Embedded Systems
Education
Rose-Hulman Institute of Technology 2002 — 2007
Bachelor of Science, Computer Engineering
SEAKR Engineering July 2011 - Present
SEAKR Engineering August 1998 - June 2011
Lockheed Martin Space Systems Company 1988 - 1998
Skills
Engineering Management, Requirements Management, Systems Engineering, Aerospace
Education
Colorado State University 1983 — 1988
BS
Newisys - A Division of Sanmina Corporation May 2014 - Present
SEAKR Engineering July 2011 - May 2014
Smart Modular Technologies January 2010 - March 2011
Comtech EF Data October 2007 - October 2009
Naval Research Laboratory July 2005 - August 2005
Skills
FPGA, Xilinx, Altera, Verilog, Electronics, Debugging, VHDL, Testing, Hardware, Hardware Architecture, Lattice, ModelSim/QuestaSim, Active-HDL, Teamwork, Aldec Active-HDL, Microsemi, Linux, Embedded Systems, Matlab, Simulations
Education
New Mexico State University 2002 — 2007
Bachelors of Science, Electrical Engineering
SEAKR Engineering April 2008 - Present
Raytheon July 2002 - April 2008
Skills
FPGA, VHDL, Verilog, Hardware Design, PCB design, Digital Design, Embedded Systems, Firmware, Simulation, System Design, Xilinx, Schematic Capture, ModelSim, Circuit Design, Electrical Engineering, RTL design, Spectrum Analyzer
Education
California Polytechnic State University-San Luis Obispo 1998 — 2002
BS, Electrical Engineering
SEAKR Engineering August 2014 - Present
Aircell inc March 2010 - 2011
Inovonics Wireless February 2008 - October 2009
Education
Metropolitan State College of Denver 2009 — 2011
BSEE, Communications
Front Range Community College 1985 — 1995
Associate of Science (AS), Electrical, Electronic and Communications Engineering Technology/Technician, 3.0
ReturnPath July 2014 - Present
Standing Cloud January 2011 - March 2013
Hemisphere Groove Collective May 2011 - Present
Gloo LLC / Tango Group March 2013 - June 2014
SEAKR Engineering May 2010 - September 2010
EchoStar Corporation 2009 - 2009
Xilinx May 2008 - September 2008
Skills
Linux, Ubuntu, Chef, Configuration Management, Perl, MySQL, Subversion, Programming, PHP, Servers, Testing, Cloud Computing, SQL, System Administration, Web Applications, HTML, Java, Unix, JavaScript, Apache, Bash, Tomcat, Ruby, Integration, SaaS, Git, Web Development, Agile, Scrum, Shell Scripting, Drupal, Electrical Engineering, Open Source, Databases, Windows, Python, C++, Photoshop, XML, Firewalls, Content Management, Problem Solving, Eclipse, OOP, Software Engineering, LAMP, Software Development, Web Services, Automation, AJAX
Education
Colorado School of Mines 2005 — 2009
Bachelor of Science, Engineering - Electrical Specialty w/ Area of Special Interest in Computer Science
University of Colorado at Boulder 2004 — 2005
BS, Electrical and Computer Engineering
HouseCanary, Inc December 2014 - Present
Location Labs September 2013 - November 2014
Symantec May 2011 - August 2013
Symantec October 2007 - May 2011
SEAKR Engineering May 2003 - June 2007
Skills
C++, Python, Cloud Computing, Java, Software Engineering, Linux, Shell Scripting, Unix, Software Development, Distributed Systems, Object Oriented Design, REST, Agile Methodologies, System Architecture, Scrum, Web Services, Scalability, XML
Education
Emory University 1999 — 2003
BS, Computer Science
SEAKR Engineering April 2010 - Present
Ball Aerospace June 2009 - August 2009
Raytheon Missile Systems June 2008 - August 2008
Tom Goodman Electric August 2006 - August 2007
Advanced Power Cabling Service March 2006 - August 2006
Skills
Circuit Design, Electrical Engineering, Schematic Capture, Simulations, Testing, SI-wave, Agilent ADS, Hyperlynx, FPGA, Engineering, Hardware Architecture, PCB design, Engineering Management, Embedded Systems, Electronics, PCB Design
Education
Northern Arizona University 2006 — 2009
Bachelor of Science, Electrical Engineering
SEAKR Engineering May 2014 - Present
Epsilon Systems Solutions, Inc. February 2007 - September 2012
US Navy July 2001 - September 2006
Skills
Security Clearance, Troubleshooting, Team Building, Continuous Improvement, Military, Lean Manufacturing, Quality Assurance, Supply Chain Management, Management, Electronics, Team Leadership, Integration, Security, Government, DoD, Cooking, Customer Service, Program Management, Weaving, Microsoft Office
Education
Colorado State University 2015 — 2018
Master of Business Administration (M.B.A.), Business Administration and Management, General
University of Phoenix 2010 — 2013
Bachelor of Science Business Administration (BSBA), Business Administration and Management, General
Nuclear Electrican's Mate A School 2001 — 2002
Electrical and Electronics Engineering
SEAKR Engineering March 2012 - Present
SEAKR Engineering June 2002 - March 2012
University of Colorado September 2004 - December 2009
Omnitech Robotics November 1997 - June 2002
Omnitech Robotics Incorporated November 1997 - June 2002
Skills
Perl, Unix, C, C++, Assembly, VxWorks, Java, Engineering, Programming, Linux, Software Engineering, Manufacturing, Debugging
Education
University of Colorado Denver 2004 — 2009
BS, Computer Science Engineering
Red Rocks Community College 1993 — 1996
AAS, Electronics
Sierra Nevada Corporation October 2013 - Present
Sierra Nevada Corporation July 2010 - October 2013
SEAKR Engineering February 2008 - July 2010
Advanced Energy February 1999 - October 2007
Skills
Test Equipment, Testing, Electronics, Security Clearance, Aerospace, Avionics, Troubleshooting, Soldering, RF, Oscilloscope, Debugging, Software Design, Object Oriented Design, C++, Java, Space Systems, Spacecraft, Spectrum Analyzer, Electro-mechanical, Test Fixtures, System Testing, Test Execution, Electrical..., Electro-mechanical..., Equipment Setup, SQL, Configuration Management, Manufacturing, Software Development
Education
Colorado Technical University 2009 — 2013
Bachelor of Applied Science (B.A.Sc.), Computer Science, 4.0
Westwood College of Technology 1997 — 1999
Associate of Science (A.S.), Industrial Electronics Technology/Technician, 3.76
SEAKR Engineering 1991 - Present
Hughes Aircraft Company January 1988 - October 1991
United States Air Force November 1983 - November 1987
Skills
ASIC, FPGA, Hardware Architecture, Xilinx, Verilog, Firmware, VHDL, PCB design, Systems Engineering, RTL design, Embedded Systems, ModelSim, Digital Signal..., Engineering Management, Technology Integration, EDA, System Architecture, Electronics, Simulations, Semiconductors, Systems Design, IC, Engineering, Testing, Debugging, Program Management, Electrical Engineering, Aerospace
Education
Northern Arizona University 1980 — 1983
Bachelor of Science (BS), Electrical and Electronics Engineering
Glenwood High School 1976 — 1979
SEAKR Engineering June 1999 - Present
Omnitech Robotics August 1998 - June 1999
Lockheed Martin Space Systems Company April 1995 - October 1996
Skills
VxWorks, Embedded Systems, Ada programming, Real Time, Device Drivers, Embedded C
Education
Colorado State University 1986 — 1990
BS, Computer Science, Physics(Minor)
SEAKR Engineering June 2014 - Present
Epic September 2012 - June 2014
Tufts Institutional Research May 2011 - May 2012
Tufts University April 2010 - May 2012
Tufts Personalized Performance Program September 2009 - May 2012
Tufts Academic Resource Center January 2009 - May 2012
Tufts Biology Department January 2009 - May 2011
Skills
Statistics, Research, Microsoft Office, Data Analysis, Tutoring, Epic Systems, Hospital Revenue Cycle, Teaching, SPSS, Quantitative Research, Qualitative Research, Biology, Healthcare Information..., Healthcare Consulting, EMRs, Social Media, Editing, Laboratory, Data Collection, Science, Spanish, Microsoft Excel, Public Speaking, PowerPoint, Project Management
Education
Tufts University 2008 — 2012
Bachelors of Science, Biopsychology
New Canaan High School 2004 — 2008
Contract Engineer April 2010 - Present
SEAKR Engineering May 2007 - April 2010
Purdue University September 2001 - May 2005
SAIC May 2000 - September 2002
SAIC May 2000 - September 2002
Georgia Institute of Technology September 1999 - May 2001
International Training Corporation May 1997 - August 1998
Skills
Java, C, C++, Matlab, DSP, Simulink
Education
Purdue University 2001 — 2005
Electrical and Computer Engineering
Georgia Institute of Technology 1999 — 2001
Master of Science, Electrical and Computer Engineering
Brown University 1995 — 1999
Bachelor of Science, Electrical Engineering
SEAKR Engineering June 2008 - Present
Sealy June 2004 - January 2008
Aerotek June 2002 - May 2004
Skills
Project Planning, Expediting, Microsoft Excel, Spreadsheets, Inventory Control, Inventory Analysis, Bill of Materials, Process Improvement, Transportation, Lean Manufacturing, Continuous Improvement, Construction Management, Organizational..., Problem Solving, Team Building, Teamwork, Cost Reduction..., Purchasing, Purchasing Processes, Project Management, Procurement, Materials Management, Materials, Access, Manufacturing
Education
Ridgewater College 1989 — 1989
APICS Certificate, Just In Time Manufacturing
White Bear Technical College 1974 — 1975
Certificate of Hours, Transportaion
Laboratory For Atmospheric and Space Physics December 2014 - Present
SEAKR Engineering October 2012 - November 2014
Ball Corporation February 2009 - August 2009
Ball Aerospace June 1997 - October 2008
Skills
Excel, Earned Value Management, Financial Analysis, Access, Cost Analysis, PowerPoint, Budgeting, Microsoft Office, Process Improvement, MS Project, Pricing, ISO standards, Document Management, Project Coordination, Program Management, IFS ERP, Cross-functional Team..., Budgets, SharePoint, IFS, Government Contracting, Data Analysis, Microsoft Excel
Education
Regis University 2009 — 2011
Bachelor of Science, Business Administration
SEAKR Engineering 2014 - Present
Sierra Nevada Corporation 2013 - 2014
Premier Precision Group August 2011 - September 2013
Research Electro-Optics April 2010 - June 2011
Goodrich June 2008 - June 2010
Amkor Technology June 1995 - June 2001
Skills
Continuous Improvement, Aerospace, Materials Management, Lean Manufacturing, MRP, Production Planning, Supply Chain, Purchasing, Semiconductor Industry, Optics, Customer Service, Kaizen, Supply Management, Security Clearance, Process Improvement, Cross-functional Team..., Manufacturing, Logistics, 5S, Root Cause Analysis, Project Planning
Education
California State University, Fresno 1989 — 1993
BS, Business - Finance
Live Oak
SEAKR Engineering December 2011 - Present
Ehrhardt Keefe Steiner Hottman July 2006 - December 2011
Clifton Gunderson LLP 2003 - 2006
Skills
Process Improvement, Auditing
Education
Gonzaga University 1995 — 2000
BBA, Accounting
SEAKR Engineering August 2012 - Present
Streamlined CAD January 2012 - November 2012
Z-Original Woodworks May 2006 - August 2011
Skills
Solidworks, PADS Layout, PADS Logic, C, C++, Microcontrollers, Circuit Design, Labview, Microsoft Excel, Microsoft Word, LEED AP BD+C, MathCAD, EES, Matlab
Education
Colorado School of Mines 2007 — 2011
Bachelor of Science (BS), Electrical Engineering
Colorado School of Mines 2007 — 2011
Bachelor of Science (BS), Mechanical Engineering
SEAKR Engineering 2012 - Present
SNC 2010 - 2012
Riverside Research Institute 2005 - 2010
Skills
Spacecraft, Space Systems, Systems Engineering, Trade Studies, Aerospace, Flight Test, Aerospace Engineering, Telelogic DOORS, Avionics, UAV, Earned Value Management, System Testing, System Architecture, System Design, Systems Analysis, Requirements Management, System Requirements, Engineering Management, Sensors, DoD, MIL-STD-1553, Information Assurance, Avionics Integration, Aircraft Systems, Technical Leadership
Education
Air Force Institute of Technology-Graduate School of Engineering & Management 2006 — 2009
Masters, Aerospace Engineering
Embry Riddle Aeronautical University-Worldwide
BS, Professional Aeronautics
SEAKR Engineering June 2014 - Present
Department of Computer Science, Colorado State University January 2012 - May 2014
SEAKR Engineering May 2013 - August 2013
SEAKR Engineering May 2012 - August 2012
Beekman Fine Art & Design March 2011 - August 2011
Skills
C, Java, Programming, Windows, Linux, OS X, Testing, HTML, Microsoft Office, Microsoft Excel, C++, Python, Research
Education
Colorado State University 2011 — 2014
Bachelor of Science (B.S.), Computer Science
SEAKR Engineering August 2011 - March 2014
Lockheed Martin Space Systems Company 2006 - 2011
Skills
Engineering Management, Satellite, Spacecraft, Systems Engineering, Program Management, System Architecture, Earned Value Management, Space Systems, Aerospace, DoD, Requirements Management, System Design, Six Sigma, Security Clearance, Systems Analysis, Integration, C4ISR, Cross-functional Team..., Government Contracting, Requirements Analysis, Military, Aerospace Engineering, Engineering, Electronics Technology, Quality Assurance, Technical Leadership, Testing, Government Procurement, Trade Studies, Defense, Air Force, Proposal Writing, Top Secret, Avionics, Satellite Communications, Configuration Management, Information Assurance, Radar, Sensors
Education
USC 1986 — 1988
Master's degree, Systems Management
Georgia Tech 1976 — 1980
Bachelor's degree, Electrical and Electronics Engineering
SEAKR Engineering May 2014 - Present
Integrity Applications Incorporated November 2012 - May 2014
Comtech AeroAstro 2010 - 2012
MicroSat Systems Inc. 2000 - 2010
Lockheed Martin Astronautics 1995 - 2000
Skills
Spacecraft, Engineering Management, Systems Engineering, Space Systems, Aerospace, RF, System Design, Earned Value Management, Aerospace Engineering, Satellite, DoD, Requirements Management, Security Clearance, System Architecture, Configuration Management, Simulations, Program Management, Defense, Testing, Integration, Engineering
Education
Colorado School of Mines 1992 — 1997
BS Engineering, Mechanical and Electrical
SEAKR Engineering January 2009 - Present
SEAKR Engineering May 1997 - January 2009
Pfizer April 1995 - May 1997
Larimer County Forest Fire and Search and Rescue February 1992 - August 1995
Central Yavappi Fire Department April 1983 - August 1990
Skills
PCB design, Schematic Capture, Xilinx, FPGA, Hardware Architecture, Signal Integrity, ModelSim, Circuit Design, VHDL, Verilog, Embedded Systems, Digital Signal..., Firmware, Simulations, Debugging, System Design, Analog, ASIC, Electronics, Electrical Engineering, Mixed Signal, RTL design, Test Equipment, Systems Engineering, Automation, Aerospace, CAD, CAM, Library Management, library creation, Delphi, Visual Basic, Visual C++, Employee Training, PCB Design
Education
Front Range Community College 1991 — 1993
Associate's Degree, Electrical/Electronics Drafting and Electrical/Electronics CAD/CADD, 4.0
Arizona State University 1984 — 1986
Bachelor's Degree, Electrical and Electronics Engineering, 4.0
Bradshaw Mountain High School 1980 — 1983
High School, 4.0 GPA
SEAKR Engineering March 2013 - Present
Infinite Power Solutions June 2008 - December 2012
United States Marine Corps July 2001 - July 2005
Skills
Analog Circuit Design, Digital Circuit Design, PCB layout design, Software Development, Firmware, Altium, Cadence, C++, Lithium-ion Batteries, Battery Management..., Analog, Electronics, Testing, Embedded Systems
Education
University of Colorado at Boulder 2006 — 2010
Bachelor of Science (BS), Electrical and Computer Engineering
SEAKR Engineering May 2000 - Present
Lockheed Martin Space Systems Company June 1991 - June 1996
Skills
PMP, Program Management, Systems Engineering, Earned Value Management, Management, Aerospace, Engineering Management, Testing, Systems Design, Integration, Process Improvement, Engineering, Space Systems, Simulations, Cross-functional Team..., DoD, Requirements Management, Aerospace Engineering, System Design
Education
University of Colorado at Denver 2002 — 2005
Master of Business Administration (MBA)
Florida Institute of Technology 1994 — 1997
Master's degree, Space Systems
University of Florida 1987 — 1990
BS, Aerospace, Aeronautical and Astronautical/Space Engineering
SEAKR Engineering April 2014 - Present
Field Solutions LLC, and OnForce Inc. 2013 - Present
Charleston County School District March 2011 - November 2011
Charleston County School District August 2009 - September 2010
Skills
Hardware, Active Directory, Microsoft Office, Troubleshooting, Windows Server, Networking, Network Administration, Windows 7, System Administration
Education
Georgia Southwestern State University
SEAKR Engineering April 2014 - Present
Orbital Sciences Corporation April 2010 - April 2014
General Dynamics Advanced Information Systems November 2008 - April 2010
General Dynamics Advanced Information Systems April 2003 - November 2008
Skills
Radiation Effects, Space Systems, Systems Engineering, Spacecraft, Reliability Engineering, Labview, Space Radiation software, SPENVIS, Relex, Trade Studies, Failure Analysis, Simulations, Engineering Management, Sensors, Design of Experiments
Education
Rose-Hulman Institute of Technology
Bachelor of Science (BS), Physics/Mathematics
University of Arizona
Master of Science (MS), Applied and Industrial Physics
Northrop Grumman Corporation February 2014 - July 2014
SEAKR Engineering July 2002 - September 2013
Duro Electric May 1996 - August 1999
Skills
Analog Circuit Design, Power Systems, PCB design, Electronic Circuit..., Circuit Design, Oscilloscope, Electrical Design, Testing, Problem Solving, Improvisation, Reliability, Analysis, PCB Design, Electrical Engineering
Education
University of Colorado Boulder 1997 — 2002
Bachelor of Science (BS), Electrical Engineering
SEAKR Engineering December 2003 - Present
Honeywell Aerospace July 1994 - December 2003
Skills
Software Engineering, Embedded Systems, Systems Engineering, C++, Testing, C, Embedded Software, System Architecture, Unix, Debugging
Education
The University of New Mexico 1989 — 1994
Bachelor's of Science, Computer Engineering
Vergent Products July 2014 - Present
Outdoor Engineering March 2013 - Present
SEAKR Engineering 2002 - 2012
PAI-Honeywell March 1998 - August 1999
Easter-Owens Electric Co September 1997 - March 1998
MG Industries September 1994 - July 1997
Skills
Aerospace, Schematic Capture, Expedition PCB, Altium Designer, LTSpice, Analog Circuit Design, Digital Circuit Design, PCB design, AutoCAD, Revit, Microchip PIC, FPGA, PADS Layout, PCB Design
Education
University of Colorado Denver 1998 — 2002
Bachelor of Science (B.S.), Electrical and Electronics Engineering
Southeast Missouri State University 1989 — 1994
Bachelor of Science (B.S.), Industrial Electronics Technology/Technician
SEAKR Engineering February 2011 - Present
Raytheon June 2008 - February 2011
Skills
PCB Design, Aerospace
Education
The University of Texas at San Antonio 2006 — 2008
Bachelor of Science (B.S.), Electrical and Electronics Engineering