Synopsys Inc
Industry: Computer integrated systems design company
DescriptionSynopsys is an American company. Synopsys' first and best-known product is Design Compiler, a logic-synthesis tool. Synopsys offers a wide range of other products used in the design of an application-specific integrated circuit. Headquarters: Mountain View, California, United States Number of employees: 12,590 (Q2 Fiscal 2018) Subsidiaries: Coverity, Black Duck Software, Protecode, CEO: Chi-Foon Chan (23 May 2012–), Aart de Geus (23 May 2012–)
Synopsys Inc List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Synopsys Inc. You can filter them based on skills, years of employment, job, education, department, and prior employment.
Synopsys Inc Salaries. You can even request information on how much does Synopsys Inc pay if you want to. Learn about salaries, pros and cons of working for Synopsys Inc directly from the past employees.
Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!
601 Synopsys Inc employees in database. Find out everything there's to know about Synopsys Inc employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.
Synopsys Inc Employees
Synopsys Inc September 2015 - Present
Synopsys Inc July 2015 - August 2015
Iowa State University February 2009 - June 2015
Indian Institute of Technology Kharagpur April 2007 - July 2008
Infosys Technologies Ltd November 2005 - April 2007
UshaComm July 2005 - September 2005
Skills
Algorithms, Python, C, Java, Information Retrieval, Data Structures, Distributed Algorithms, Computational Complexity
Education
Iowa State University 2008 — 2015
Doctor of Philosophy (PhD), Computer Science
West Bengal University of Technology 2001 — 2005
Bachelor of Technology (B.Tech.), Computer Science and Engineering
Artie Pennington Law Offices, PLLC May 2014 - Present
Pennington IP Offices, LLC 2011 - 2014
J. V. Myers & Associates, PC 2008 - 2012
Synopsys, Inc 1996 - 2008
Motorola 1989 - 1996
Visual Information Technologies 1988 - 1989
SGS-Thomson Mostek 1987 - 1988
Skills
IC, ASIC, Computer Architecture, EDA, Semiconductors, Microprocessors, Patent Portfolio..., Patent Applications, Patent Prosecution, Patents, Integrated Circuit..., SoC, VLSI, Circuit Design, CMOS, Project Engineering, Static Timing Analysis, Intellectual Property, Verilog, Licensing, Management, IP, Processors, Professional Services, Perl, Start-ups, Program Management, Business Development, System Architecture, Strategic Partnerships, Semiconductor Industry, Integration, Physical Design, Low-power Design, Timing Closure, Product Management, Logic Design, RTL design, Digital Signal..., Debugging, Software Licensing
Education
St. Mary's University School of Law 2010 — 2013
Doctor of Jurisprudence, Law
Mississippi State University 1986 — 1987
Post Graduate, Electrical Engineering, Computer Science
Mississippi State University 1982 — 1986
Bachelor of Science (BS), Electrical Engineering
Synopsys Inc October 2015 - Present
Acesse Corporation July 2014 - August 2015
RingCentral February 2013 - April 2014
Cisco WebEx November 2010 - February 2013
Cisco July 2009 - October 2010
Cisco Systems February 2005 - July 2009
Oracle Corporation July 1999 - January 2005
Oracle July 1996 - 1999
Oracle October 1994 - July 1996
TCS 1992 - 1994
Skills
Product Management, Cross-functional Team..., Strategy, SaaS, Business Intelligence, Management, Solution Architecture, Go-to-market Strategy, E-commerce, Project Management, Cloud Applications, Call Centers, Agile Project Management, Certified Scrum Master..., Cloud Computing, Vendor Management, PMO, Software Development, Pre-sales, Analytics, Leadership
Education
CSM (Certified SCRUM Master)
Certified SCRUM Master
National Institute of Technology Kurukshetra
Bachelor of Engineering (B.Eng.), Electronics and telecommunications
PMP Certified
Project Management
Ravidon-Calitex Holdings, LLC May 2013 - Present
Alvarado Smith May 2011 - May 2013
Kendall Brill & Klieger LLP January 2011 - March 2011
Rutter Hobbs & Davidoff Incorporated October 2010 - January 2011
KENDALL BRILL & KLIEGER LLP September 2009 - July 2010
LAW OFFICES OF NICHOLAS HUA August 2009 - July 2010
SANTA CLARA UNIVERSITY August 2006 - May 2008
SYNOPSYS, INC May 2007 - August 2007
AIDS LEGAL REFERRAL PANEL May 2006 - August 2006
Skills
Legal Research, Trademarks, Legal Writing, Bankruptcy, Litigation, Corporate Law, Westlaw, Civil Litigation, Copyright Law, Due Diligence, Courts, Patents, Product Liability, Real Estate Financing, Commercial Real Estate, Real Estate Development
Education
Santa Clara University School of Law 2005 — 2008
J.D.
University of Southern California 2000 — 2004
B.A., Intl. Relations, History
Greenhill School
National Chengchi University
UCLA Extension
Synopsys March 2013 - Present
Synopsys November 2007 - Present
Synopsys May 2007 - October 2007
Synopsys Inc. March 2001 - April 2007
The University of Michigan January 2000 - January 2001
University of Michigan August 1994 - December 1999
Virginia Tech 1993 - 1994
Virginia Tech 1992 - 1993
Skills
EDA, Algorithms, CMOS, Circuit Simulation, Electrical Engineering, Simulation, VLSI, Algorithm Design, TCL, R&D, Simulations, Static Timing Analysis, Debugging, ASIC, Software Engineering, Low-power Design, Semiconductors, Functional Verification, IC, New Product..., Verilog, High Performance..., New Product Ideas, SystemVerilog, SoC, Perl
Education
The University of Michigan 1994 — 1999
PhD, Electrical Engineering and Computer Science
Virgnia Tech 1992 — 1994
MS, Electrical Engineering
Indian Institute of Technology, Kharagpur 1988 — 1992
B.Tech, Electronics and Electrical Communications Engineering
South Point High School 1973 — 1988
AMD September 2013 - Present
Nanya Technology January 2006 - September 2012
NANYA Technology Corp. Delaware January 2006 - September 2012
Opelcomm Inc August 2002 - August 2005
KC Technology July 2001 - July 2002
Synopsys, Inc January 1999 - March 2000
Silicon Motion, Inc October 1997 - January 1999
AuraVision Corp April 1994 - September 1997
ULSI Systems December 1987 - April 1994
Skills
Verilog, Cadence Virtuoso, Simulation, C, Perl, ASIC, RTL design, SoC, IC, Analog, Mixed Signal, DFT, Timing Closure, Circuit Design, CMOS, RTL coding, NC-Verilog, NCSim, VLSI, Logic Design, Functional Verification, Spectre, Low Power Design, DRC, LVS, Floorplanning, Power Analysis, Physical Verification, BIST, Clock Tree Synthesis, SERDES, Digital Design, Analog Design
Education
State University of New York 1985 — 1987
M.S, Electrical Eng
Stony Brook University 1985 — 1987
Master of Science (MS), Electrical and Electronics Engineering
National Chiao Tung University 1978 — 1982
B.S, Electrophysics
Qualcomm May 2013 - Present
Qualcomm May 2012 - March 2013
Qualcomm April 2009 - April 2012
Qualcomm October 2005 - March 2009
Qualcomm November 2002 - September 2005
Medisys LLC May 2002 - October 2002
Synopsys Inc June 2001 - August 2001
Skills
Embedded Systems, Embedded Software, RTOS, Device Drivers, Debugging, ARM, SoC, ASIC, JTAG, Python, Assembly Language, Software Development, Software Engineering, C++, C, Firmware, Linux, Mobile Devices
Education
University of Southern California 2000 — 2002
Master of Science (MS)
L.D College of Engineering - Ahmedabad 1996 — 2000
Bachelor of Engineering (B.E.)
Synopsys Inc January 2015 - Present
Synopsys Inc. April 2010 - December 2014
ZeroSoft, Inc. December 2007 - March 2010
Atheros Communications October 2006 - November 2007
Sun Microsystems February 2001 - September 2006
Sun Microsystems May 2000 - August 2000
Education
Stanford University 2005 — 2006
MS, Management Science and Engineering
University of Minnesota 1999 — 2000
MS, Electrical Engineering
National Institute of Technology Warangal 1995 — 1999
B.Tech, Electrical and Electronics Engineering
Synopsys Inc April 2015 - August 2015
STMicroelectronics July 2014 - March 2015
STMicroelectronics August 2012 - June 2014
Ericsson June 2011 - August 2011
Airports Authority of India June 2010 - July 2010
Skills
Verilog, RTL design, Digital Electronics, ModelSim, SystemVerilog, VLSI, NCSim, Cadence Virtuoso, RTL verification, SoC, EDA, Integrated Circuit..., Static Timing Analysis, Shell Scripting, Tcl-Tk, Xilinx ISE, Physical Design, Perl, ASIC, Logic Synthesis, Digital System Design, RHEL, Eldo, Mixed Signal, C/C++, IPXACT, Functional Verification, TCL, DRC, LVS, CMOS, RTL Coding, RTL Design, C++
Education
University of California, San Diego 2015 — 2017
Master of Science (MS), Computer Science (Computer Engineering)
Institute Of Technology And Management 2008 — 2012
Bachelor of Technology (B.Tech.), Electronics & Communication Engineering (ECE), 83.44/100
JIT Transportation May 2009 - Present
American Loan City September 2003 - August 2006
Ohlone College August 2002 - February 2003
Synopsys Inc August 2001 - March 2002
Skills
Human Resources, Customer Service, SAP, Screening Resumes, Loans, Databases, Microsoft Office, Data Entry, Shipping, Management
Education
Modesto Junior College 1997 — 2000
Associate's degree, AS in Business Administrative, GE (Modesto Junior College)
Synopsys Inc June 2015 - Present
Louisiana State University January 2014 - Present
LSU Continuing Education January 2013 - Present
Tata Consultancy Services December 2010 - December 2012
Skills
SystemVerilog, Verilog, Xilinx Vivado, RTL design, FPGA, Xilinx ISE, TCL, UPF, Computer Architecture, VHDL, Perl, Python, Xilinx, CUDA, Unix, Data Structures, Hardware Architecture, Embedded C, Shell Scripting, C++, Parallel Programming, SQL, Teradata, Dev C++, C, Embedded Systems, VLSI, Keil, Matlab, Orcad, Cognos, SQL Server Management..., SSRS, Powerplay Transformer, Powerplay, Web Report Studio, Databases, Business Intelligence..., Requirements Analysis, Unix Shell Scripting, Informatica, PL/SQL, ModelSim, DB2, ETL, Visual Basic, Data Warehousing, Algorithms, Programming
Education
Louisiana State University and Agricultural and Mechanical College 2013 — 2014
Master of Science (MS), Electrical and Computer Engineering, 3.55/4.0
The Depository Trust & Clearing Corporation May 2014 - Present
IBML August 2012 - March 2014
Synopsys Inc March 2006 - October 2011
Cúram Software September 2005 - February 2006
Oracle May 1999 - June 2005
Hyperion Solutions July 1996 - April 1999
Rail Europe November 1989 - July 1996
Skills
Technical Documentation, FrameMaker, Manuals, Unix, Release Notes, RoboHelp, Scrum, SnagIt, SharePoint, Perforce, ClearCase, Microsoft Office, Adobe Creative Suite, Outlook, Synopsys Primetime, SAP CRM, MadCap Flare, InDesign, PaintShop Pro, Quadralay WebWorks..., Visual SourceSafe, Lotus Notes, Technical Writing, Agile Methodologies, Enterprise Software, Online Help, Software Development, Document Management
Education
Pace University 1985 — 1989
BA, Psychology, Business
Intel Corporation 2012 - Present
Biamp Systems 2010 - 2012
Audinate 2009 - 2010
Ambric Inc 2007 - 2009
Synopsys Inc 2003 - 2006
Mobilian Corporation 2001 - 2002
Mentor Graphics 1993 - 2001
Skills
Start-ups, Semiconductors, Product Management, Product Marketing, Embedded Systems, ASIC, Integrated Marketing, Business Development, Marketing, Strategic Planning, Cross-functional Team..., Go-to-market Strategy, Product Development, Management, Strategic Partnerships, Sales, Mobile Devices, Consumer Electronics, Competitive Analysis, Strategy, Wireless, International Sales, Product Lifecycle...
Education
University of Oregon - Charles H. Lundquist College of Business 2004 — 2006
MBA, General Management
Michigan Technological University 1990 — 1992
MS, Electrical Engineering
University of Pune 1985 — 1989
BE, Computer Engineering
Synopsys Inc June 2015 - Present
NC State University September 2014 - Present
Industrial and Commercial Bank of China November 2012 - January 2013
China Electronics Technology Co. July 2012 - August 2012
Skills
C/C++ STL, SystemVerilog, Software Development, ASIC, VLSI CAD, Computer Architecture, Algorithm Development, Verilog HDL, Java, Matlab, Digital Signal..., Microsoft Office, PowerPoint, Microsoft Excel, Windows, Microsoft Word, OS X, Outlook, Windows 7, Research
Education
North Carolina State University 2013 — 2015
Master of Science (M.S.), Computer Engineering, 4.0
Zhejiang University 2009 — 2013
Bachelor of Engineering (BEng), Electrical and Electronics Engineering
Datafiniti January 2015 - Present
BeehiveID March 2014 - December 2014
The Walters Physician Incubator October 2012 - September 2014
DocBookMD February 2009 - February 2014
Advanced Micro Devices September 2009 - December 2012
Advanced Micro Devices February 2006 - September 2009
Nascentric August 2005 - September 2006
Synopsys Inc. March 2003 - July 2005
Marketing Foundations, Inc April 2001 - February 2003
Mentor Graphics Corporation November 1998 - March 2001
Skills
Go-to-market Strategy, Competitive Analysis, Marketing Communications, Product Marketing, Business Development, Marketing Strategy, Strategy, Market Research, Product Development, Cross-functional Team..., Analytics, Market Analysis, Product Management, Start-ups, Integrated Marketing, Mobile Devices, Program Management, Business Strategy, Segmentation, Entrepreneurship, Business Planning, Marketing, Management, Leadership, Multi-channel Marketing, Strategic Partnerships, Management Consulting
Education
New York University - Leonard N. Stern School of Business 1999 — 2001
MBA, Marketing, International Business
University of Toledo/UT Austin 1991 — 1995
MS, Computer Engineering and VLSI
Kendriya Vidyalaya 1973 — 1985
Accenture February 2015 - Present
Virtual Instruments January 2012 - February 2015
GlassHouse Technologies October 2011 - January 2012
Allstate Insurance March 2008 - October 2011
NetApp September 2005 - April 2008
Highdata Software February 2005 - November 2007
Bayer Corp September 2005 - September 2006
Synopsys Inc February 2005 - July 2005
NEC Electronics Inc August 1999 - February 2005
Unifor Information Technologies January 1998 - July 1999
Skills
SAN, Data Center, NetApp, Solaris, VMware, ITIL, NAS, Unix, HDS, Brocade, Disaster Recovery, Servers, Netbackup, Storage Area Networks, Virtual Instruments, Linux, Citrix, Business Process, AIX, 3PAR, Solution Architecture, Hardware, HP, Perl, Shell Scripting, CISA, EMC, Professional Services, Professional Services..., Virtualization, EMC Storage, Hitachi Storage, NetApp Filers, Pre-Sales Technical..., Account Management, Enterprise Software, Storage Architecture, Troubleshooting, Vendor Management, Project Management, IT Management, IT Infrastructure..., Leadership, Cloud Computing, Product Management, Storage, VMware ESX, Fibre Channel, iSCSI, Windows Server
Education
Lake Forest Graduate School of Management 2008 — 2011
MBA, Business Administration
Osmania University 1991 — 1995
Bachelor Of Engineering, Bachelor of Engineering
Vasavi College of Engineering 1991 — 1995
BE, Engineering
Loyola Academy Hyderabad 1988 — 1990
Intermediate, MPC
St Johns Church High School Secunderabad 1983 — 1988
SSC, 10th Class
Synopsys Inc August 2015 - Present
Mentor Graphics June 2012 - August 2015
Cadence Design Systems July 1998 - May 2012
LSI, an Avago Technologies Company April 1995 - July 1998
Skills
EDA, Physical Synthesis, Low Power Optimization, C, C++, MSV, MultiThreading, EDA algorithms, Place&Route, Data Structures, Graph Theory, Place & Route, IC, ASIC, SoC, Verilog, Debugging, TCL, Algorithms, Multithreading, VLSI
Education
Indian Institute of Technology, Kharagpur
Master’s Degree, Integrated Circuits & Systems
National Institute of Technology Warangal
Bachelor of Technology (B.Tech.), Electronics & Communication Engg
Samsung Semiconductor October 2010 - Present
Samsung Semiconductor February 2008 - September 2010
Samsung Semiconductor March 2006 - January 2008
Mentor Graphics Inc. April 2004 - March 2006
Intime Software Inc. July 2002 - April 2004
Synopsys Inc. November 1997 - September 2001
TRW Inc. March 1995 - November 1997
Skills
SoC, Semiconductors, Processors, Product Marketing, IC, Technical Marketing, VLSI, Mobile Devices, Semiconductor Industry, Integrated Circuit..., CMOS
Education
New York University 2000 — 2002
MBA, Marketing/ Finance
University of California 1993 — 1995
MS, Computer Engineering, VLSI Design
University of California, San Diego 1988 — 1993
BS, Computer Engineering, VLSI Design
ASIC Consulting November 2013 - Present
Founders Network March 2012 - Present
Sigma Designs September 2011 - November 2013
Cadence Design Systems December 2010 - September 2011
Synopsys Inc November 2005 - December 2010
Synopsys Inc June 2003 - November 2005
Kasura Technologies Private Limited June 2002 - June 2003
Skills
Timing Closure, Floorplanning, Formal Verification, Design Methodology, High Performance..., ASIC, Magma, Account Management, Customer Relations, Mentoring, Product Management, Static Timing Analysis, Physical Design, Primetime, Clock Tree Synthesis, Power Analysis, DRC, Timing, SoC, Integrated Circuit..., Start-ups, VLSI, DFT, Customer Service, Functional Verification, Processors, Verilog, Low-power Design, Semiconductors, Logic Synthesis, TCL, IC, EDA, Debugging
Education
Founders Institute 2012 — 2013
Founder, Entrepreneurship/Entrepreneurial Studies
Stanford University 2012 — 2012
Technology Enterprenuership 2012
Portland State University 2000 — 2002
MS, IC Design, Computer Architecture
University of Madras 1996 — 2000
B.E, Electronics and Communications Engg
Good Samaritan High School 1992 — 1994
Synopsys Inc. June 2014 - Present
TSA (Taiwanese Student Association) June 2013 - March 2014
WHYremote© Inc. October 2013 - December 2013
UCLA Apartment Hunter August 2013 - December 2013
Dassault Systemes SolidWorks Corp. June 2013 - September 2013
Taiwan Military Service June 2011 - May 2012
Hin Hua High School July 2010 - August 2010
AIESEC September 2008 - February 2009
Skills
Microsoft Office, C, Matlab, C++, Objective-C, HTML, Chinese, Public Speaking, Mandarin, R, Programming, Algorithms, COM (Component Object..., Python, VBScript, OpenGL, OpenNI, JavaScript, CSS3, jQuery, Visual Studio, Xcode, PPL (Parallel Patterns..., igraph, Stochastic Modeling, Game Theory, Mac OS, Windows, Teamwork, Research, Event Planning, Pspice, English, Software Engineering, Software Development, Software Design, Computer Science, Machine Learning, LaTeX, CSS
Education
UCLA Electrical Engineering MS 2012 — 2014
Master of Science, Electrical Engineering, 3.77
National Tsing Hua University 2007 — 2011
Bachelor of Science, Electrical and Electronics Engineering, 3.52
National Tsing Hua University 2007 — 2011
Bachelor of Science (B.S.), Physics, 3.52
[24]7 August 2014 - Present
eBay Inc. 2011 - August 2014
Yahoo! Inc. 2007 - 2010
Vendio Services, Inc. Andale Inc. 2000 - 2007
Synopsys Inc 1998 - 2000
Skills
Java web applications, Perl, Python, MySQL database design, Cloud Computing, Lucene, Hadoop, AJAX, Pig, Web Services, Big Data (Terabyte,..., Analytics, .NET, Oracle, IaaS, PaaS, E-commerce, Advertising, Agile, Scalability, Open Source, Social Commerce, J2EE, Distributed Systems, Java, Hibernate, Play Framework, jQuery, Twitter-Bootstrap, Knockout.js, Big Data, Java Enterprise Edition, MySQL, Agile Methodologies, Web Applications, Software Engineering, Mobile Devices, Apache Pig, Mobile Applications, Algorithms, JavaScript, Tomcat, Integration, Git, Databases
Education
University of Cincinnati 1996 — 1998
M.S, Computer Science and Engineering
National Institute of Technology Warangal
Bachelor Of Technology, Electronics and Communications Engineering
Broadcom May 2014 - Present
Synopsys Inc June 2011 - May 2014
Nethra Imaging September 2008 - June 2011
Texas Instruments India January 2006 - May 2008
Sasken August 2004 - December 2005
Skills
ASIC, RTL design, SoC, FPGA, Debugging, Logic Synthesis, RTL coding, IC, Timing Closure, Microprocessors, Formal Verification, Mixed Signal, Verilog, PCIe, Power Management, Architecture, Functional Verification, SystemVerilog
Education
University of Tennessee-Knoxville 2001 — 2004
MS ECE, VLSI Design
Pandit Ravishankar Shukla University 1997 — 2001
BE ECE, Electronics Engineering
Campion
Synopsys Inc August 2015 - Present
Atrenta 2012 - August 2015
Berkeley Design Automation 2010 - February 2012
Magma Design Automation 2004 - 2010
Magma Design Automation 2001 - 2004
Avant! 1999 - 2001
Avant! 1996 - 1999
Zycad 1994 - 1996
Aptix 1992 - 1994
Moore Products 1986 - 1992
Skills
EDA, Major Account..., ASIC, Semiconductors, IC, Electronics, FPGA, SoC, Sales, Solution Selling, Sales Operations, Mixed Signal, Sales Process, Start-ups, Analog, Strategic Planning
Education
Saint Joseph's University - Erivan K. Haub School of Business 1987 — 1992
MBA
Villanova University 1982 — 1986
BSEE
Synopsys Inc February 2014 - Present
Emulex March 2010 - Present
AMD February 2008 - March 2009
Hindustan Aeronautics Limited June 2003 - June 2005
Mishra Dhatu Nigam Limited January 2002 - May 2002
Skills
ASIC/SOC..., Verilog, ASIC, SystemVerilog, FPGA, ModelSim, Functional Verification, Debugging, VHDL, IC, Microprocessors, Logic Design, ARM, DFT, Simulations, Analog, CMOS, Digital Electronics, Testing, Emulation, Ethernet
Education
University of Missouri-Rolla 2005 — 2007
Osmania University 1998 — 2002
B.E., Electronics & Communication Engineering
Silicon Valley Education Foundation (SVEF) 2015 - Present
Coastal Luxury Management 2013 - 2014
Net Authority Inc 2012 - 2013
Multiple Startups 2011 - 2012
Silicon Valley Education Foundation 2009 - 2012
Embrane, Inc. 2009 - 2011
Agility Design Solutions 2007 - 2009
Synopsys Inc 2000 - 2007
General Magic 1998 - 2000
Apple 1990 - 1998
Skills
Financial Modeling, Operations Management, Team Leadership, Start-up Environment, Strategic Financial..., Metrics, Analytics, SaaS, Business Strategy, Entrepreneurship, Leadership, Start-ups, Strategic Partnerships, Forecasting, Strategy, Finance, Mergers & Acquisitions, Auditing, Financial Reporting, Mergers, Accounting, Management, Strategic Planning, Venture Capital
Education
Northwestern University - Kellogg School of Management
MBA, Finance & Marketing
PRO unlimited - for Synopsys July 2012 - Present
Model Railroad Hobbyist magazine January 2011 - 2012
Model Railroad Hobbyist Magazine 2008 - 2011
Synopsys Inc. May 1996 - October 2008
Tandem 1977 - 1996
Tandem Computers 1977 - 1996
US Navy October 1971 - September 1975
Skills
Firmware, Hardware Diagnostics, Verilog, Debugging, EDA, Embedded Systems, Perl, Software Development, Unix, ClearCase, Compilers, C++, C, Software Engineering, Linux
Education
Oregon Institute of Technology 1975 — 1977
Electronics Technology
Jocson Household March 2007 - Present
The Muscle Therapy Clinic June 2005 - March 2007
Synopsys, Inc August 2000 - January 2005
Dallas Semiconductor December 1997 - July 2000
Skills
Perl, Program Management, Problem Solving, Microsoft Office, stretching, Trigger Point Therapy, Neuromuscular...
Education
Sterling Health Center 2005 — 2005
Registered Massage Therapist, Swedish Massage
Mississippi State University 1994 — 1997
BS, Electrical Engineering
Mississippi University for Women 1992 — 1994
n/a, pre-engineering curriculum
Synopsys, Inc August 2013 - Present
Intel Corporation 2001 - 2013
Compaq Computer Corporation 1998 - 2001
Digital Equipment Corporation 1983 - 1998
Skills
Electronics Hardware..., Software Engineering, CAD, Algorithms, Timing Verification, Layout Verification, Layout Editing, Floorplanning, Layout design, Endurance Sports, VLSI, Hardware Architecture, Debugging, Static Timing Analysis, Hardware, ASIC, SoC, Microprocessors, Verilog, RTL Design, Embedded Systems
Education
Massachusetts Institute of Technology 1981 — 1986
Master of Science, Electrical Engineering and Computer Science
Massachusetts Institute of Technology 1981 — 1986
Bachelor of Science (BS), Computer Science and Engineering
Norfolk Academy 1974 — 1981
Synopsys Inc August 2015 - Present
Atrenta April 2010 - August 2015
Mentor Graphics November 2009 - April 2010
Mentor Graphics August 2009 - November 2009
LogicVision October 2008 - August 2009
LogicVision July 2006 - August 2009
LogicVision October 2005 - June 2006
LogicVision January 2004 - October 2005
LogicVision January 2003 - January 2004
LogicVision January 1997 - December 2002
Skills
Data Analysis, Agile Methodologies, Start-ups, Product Marketing, Software Development, Strategic Partnerships, Mergers & Acquisitions, Software Engineering, Strategy, Engineering, Product Development, Testing, Positioning, Unix, Management, Embedded Systems, Quality Management, 5 Why, Customer Support, EDA, DFT, Low-power Design, ASIC, SoC, Embedded Software, TCL, Formal Verification, System Architecture, Semiconductors, Debugging, Static Timing Analysis, Verilog, RTL design, IC, Logic Synthesis, Timing Closure, Primetime, VLSI, FPGA, RTL Design
Education
McGill University 1986 — 1990
Ph.D., Electrical Engineering
Université de Montréal - Ecole polytechnique de Montréal 1980 — 1986
M.Eng, B.Eng., Electrical Engineering
Kilopass Technology, Inc. May 2015 - Present
Cadence Design Systems January 2010 - August 2014
Cadence Design Systems March 2004 - January 2010
Nassda Corp. (Acquired by Synopsys) April 2001 - March 2004
Virage Logic (Acquired by Synopsys) December 2000 - May 2001
Synopsys Inc January 1996 - December 2000
Ross Technology May 1993 - December 1995
Skills
Major Account..., Foundation IP, Power Management, EDA, Semiconductors, ASIC, IC, Mixed Signal, SoC, Enterprise Software, Management, Analog, Product Management, Product Marketing, FPGA, Sales, Embedded Systems, Semiconductor Industry, Microprocessors, Cross-functional Team..., Go-to-market Strategy, Strategic Partnerships, Hardware, Business Alliances, Integrated Circuit..., Pre-sales, Cloud Computing, Engineering Management, Electrical Engineering, Processors, Analog Circuit Design, Simulations, Wireless, Verilog, PCB design, Debugging, Complex Sales, Embedded Software, Circuit Design, Sensors, Solution Selling, Product Lifecycle..., System Architecture, SaaS
Education
University of Toledo 1991 — 1993
MS, Electrical Engineering
Maharashtra Institute of Technology 1985 — 1990
BE, Electronics Engg
Abasaheb Garware College 1983 — 1985
Junior College
Loyola High School 1973 — 1983
University of Poona
Synopsys Inc April 2015 - Present
Schechter + Chou, Inc. August 2004 - Present
Skills
Mergers, Strategy, Licensing, Joint Ventures, Intellectual Property, Corporate Law, Contract Negotiation, Global Contract..., International..., Greater China, Corporate Finance, Investments, Finance, Mergers & Acquisitions, Venture Capital, Private Equity, Business Development, Due Diligence, Business Strategy, FCPA, Corporate Governance, Litigation, Risk Management, Employment Law, Dispute Resolution
Education
University of California, Hastings College of the Law 1987 — 1990
JD, Law
The George Washington University 1985 — 1987
Master of Comparative Law, Comparative Law
National Taiwan University 1981 — 1985
Bachelor of Laws (LL.B.), Law
Taipei First Girls' High School 1978 — 1981
DHSU 1969 — 1978
Synopsys Inc. May 2013 - Present
International Technological University (ITU) September 2014 - Present
Santa Clara University January 2007 - April 2015
Lattice Semiconductor December 2010 - May 2013
Cswitch Corp./Agate Logic Inc. November 2005 - November 2010
Tabula Inc. November 2004 - November 2005
Xilinx, Inc. July 2001 - November 2004
Axis Systems (acquired by Verisity acquired by Cadence Design Systems) February 1997 - July 2001
Altera Corp. October 1994 - February 1997
Skills
FPGA, Software Development, Physical Design, Software Project..., EDA, Algorithm Design, Outsourcing Management, FPGA fabric, ASIC, Verilog, IC, Timing Closure, Xilinx, Semiconductors, Mixed Signal, Logic Synthesis, Software Engineering, VLSI, Algorithms, Altera, Debugging, SoC
Education
University of Illinois at Urbana-Champaign 1989 — 1994
Ph.D., Computer Science
Northwestern University 1987 — 1989
MS, Computer Science
Synopsys Inc June 2015 - Present
GLOBALFOUNDRIES January 2012 - May 2015
IBM Semiconductor R&D Center June 2008 - December 2011
Skills
Semiconductors, Lithography, CMOS, IC, Simulations, VLSI, Verilog, Cadence Virtuoso, ASIC, SoC, Circuit Design, Physical Design, Semiconductor Industry, TCL, EDA
Education
Indian Institute of Technology, Kanpur 2006 — 2008
MTech, Microelectronics & VLSI
Chaitanya Bharathi Institute of Technology 2002 — 2006
BE, Electronics & Communication Engineering
Sri Chaitanya Junior Kalasala 2000 — 2002
High School (11th, 12th grade), MPC (Maths, Physics, Chemistry)
Symantec October 2013 - Present
Room 77 December 2012 - October 2013
Adchemy August 2011 - December 2012
Adchemy April 2010 - August 2011
Adchemy July 2009 - April 2010
LiveOps October 2008 - July 2009
LiveOps May 2006 - October 2008
Synopsys Inc. July 2005 - May 2006
Skills
Digital Marketing, Project Management, Web Analytics, SEM, Email Marketing, PPC, Analytics, Google Adwords, Product Management, Marketing Strategy, Social Media, Landing Page..., Integrated Marketing, A/B testing, Web Marketing, Advertising, Strategy, Display Advertising, Marketing, User Experience, Cross-functional Team..., Social Media Marketing, Affiliate Marketing, Lead Generation, Google Analytics, Online Marketing, SEO, E-commerce, Marketing Automation, Multivariate Testing, Online Advertising, Mobile Marketing, Search Advertising, MSN AdCenter, Conversion Optimization, Customer Acquisition, Organic Search, Keyword Research, Digital Strategy, Online Lead Generation, Campaign Management, Google Webmaster Tools, Mobile Applications, Mobile Advertising, Yahoo Search Marketing, Behavioral Targeting, Omniture, Enterprise Software, Strategic Partnerships, Interactive Marketing
Education
University of California, Berkeley 2003 — 2005
BA, Political Economy of Industrial Societies
UC Santa Cruz Extension 2008 — 2010
Program and Project Management Certificate, Program and Project Management
Ohlone College 2000 — 2003
Associate of Arts (AA), Business Administration and Management, General, Highest Honors
Linden Unified School District August 2015 - Present
MARKisIT January 2002 - Present
Moreland Middle School August 2005 - June 2015
Voices United Silicon Valley June 2011 - June 2013
Voices United Silicon Valley May 2009 - June 2011
Synopsys Inc June 2008 - August 2008
Industry Initiatives for Science and Math Education (IISME) June 2007 - August 2008
Synopsys Inc June 2007 - August 2007
VEP Community Association January 1998 - October 2006
Coyote Creek NJB 2001 - 2002
Skills
Microsoft Office, Windows, Microsoft Excel, Educational Technology, Staff Development, Team Building, Coaching, Program Management, Strategic Planning, Team Leadership, Budgets, Training, Change Management, Project Planning, Organizational..., Marketing, Project Management, Process Improvement, Leadership, Visio, Leadership Development, Public Speaking, Community Outreach, Nonprofits, Teaching, Data Analysis, Quality Assurance, Testing, Databases, IT Management, Security, IT Strategy, System Administration, Technology Integration, Instructional Design, Program Development, Analysis, Fundraising, E-Learning, Curriculum Design, Instructional Technology, Administration, Grant Writing, Classroom, Workshop Facilitation, Curriculum Development, Teacher Training, Career Counseling, Educational Leadership, Adult Education
Education
University of Phoenix 2002 — 2004
MA, Elementary Education
University of Phoenix 2002 — 2004
EDD/ET, The Educational Technology specialization of the Doctor of Education in Educational Leadership
Northern Illinois University 1979 — 1980
BS, Applied Computer Science
Freescale Semiconductor January 2013 - Present
PerfectVIPs July 2012 - December 2012
Synopsys Inc September 2011 - September 2011
CSUN August 2010 - December 2010
NetMax Technologies January 2009 - December 2009
Skills
Verilog, VHDL, Orcad, Pspice, Xilinx ISE, C, C++, Perl, Simulink, Simvision, ModelSim, FPGA, ASIC, SATA 3.0, PCIe 3.0, Onfi, SystemVerilog, DFT Compiler, IC Compiler, Design Compiler, VCS, Primetime, VLSI, Functional Verification, RTL design, Debugging, Computer Engineering, Veritas Cluster Server, Formal Verification, PCIe
Education
California State University 2010 — 2011
MS, Electrical Engineering
Punjab Technical University 2004 — 2008
B.Tech, Electronics & Communication Engineering
Synopsys Inc June 2015 - Present
Avalanche Technology Inc. November 2013 - May 2015
Mosys India Pvt Ltd February 2013 - October 2013
HCL Technologies Ltd June 2006 - February 2013
Bharat Electronics June 2003 - July 2006
Skills
Verilog, Xilinx, Logic Synthesis, SystemVerilog, VLSI, ASIC, Functional Verification, NCSim, RTL design, SoC, ModelSim, Static Timing Analysis, FPGA, RTL coding, VHDL, Timing Closure, USB
Education
Osmania University 1998 — 2002
BE, Electronics and Communications
Osmania University College of Engineering 1998 — 2002
Bachelor of Engineering (B.E.), Electrical, Electronics and Communications Engineering
Shinect February 2015 - Present
Synopsys Inc August 2013 - Present
HYSTA (Hua Yuan Science and Technology Association) February 2013 - February 2014
Synopsys July 2011 - August 2013
Perimeterwatch Technologies Inc January 2011 - July 2011
Goingwimax.com 2009 - March 2011
Quantum Networks September 2009 - April 2010
China Unicom April 2009 - August 2009
Department of Biomaterials & Biomimetics – New York University October 2008 - April 2009
Skills
TCP/IP, VMware, Linux, PHP, JavaScript, Perl, Cisco Technologies, Enterprise Storage, Order Management, E-commerce SEO, VPN, Shell Scripting, Business Development, Entrepreneurship, Fundraising, Project Management, Nonprofits, Organizational..., Enterprise Software, Networking, Servers
Education
Stanford University 2013 — 2016
Continuing Studies, Marketing&Product
New York University 2008 — 2009
Master of Engineering (M.Eng.), Electrical Engineering & Telecommunication
Synopsys Inc June 2015 - Present
Microsoft September 2012 - October 2014
BroadPoint Group / RedCley Partners June 2012 - August 2012
SumTotal Systems February 2008 - October 2010
SumTotal Systems September 2003 - February 2008
Click2Learn, Inc. July 2000 - September 2003
Asymetrix Corporation March 1996 - July 2000
Asymetrix Corporation July 1993 - March 1996
Asymetrix Corporation February 1995 - June 1995
Skills
Scrum, Software Design, XML, Visual Studio, Software Engineering, C#, Toolbook, Win32 API, JavaScript, Agile Methodologies, .NET, Debugging, IIS, XSLT, Testing, C++, Waterfall, Windows, HTML, ASP, Perl, CVS, SQL, Computer Science, Agile Project Management, Win32, x86 Assembly, MS Project, Project Planning, Compilers, Virtual Teams, Algorithm Design, OLE, GDI+, Performance Analysis, Interpreter, SumTotal, WinForms, DRM, Team Leadership, Yacc, Reverse Engineering, Hacking, C, Software Development, Software Project..., Microsoft SQL Server
Education
Stanford University 2013 — 2016
Graduate Certificate, Software Systems
Pacific Lutheran University 1989 — 1993
B. Sc, Computer Science
Synopsys Inc October 2010 - Present
Cadence Design Systems October 2007 - October 2010
University of Kentucky August 2005 - August 2007
Skills
TCL, Perl, Unix, LVS, DRC, Customer Support, EDA, Verilog, VLSI, Semiconductors, ASIC, Physical Design
Education
University of Kentucky 2005 — 2007
Master's, Electrical Engineering
Osmania University 2001 — 2005
BE, Electronics and Communications Engineering
University of Washington
University of Washington
University of Washington
Synopsys Inc August 2015 - Present
Atrenta Inc 2007 - August 2015
Sales Engineer Alliance July 2010 - July 2013
Sales Eningeer Advisory Board 2010 - 2012
IBM October 2005 - November 2007
Tera Systems July 2000 - August 2005
cadence 1990 - 2005
Cadence Design Systems April 1986 - July 2000
Skills
Business Strategy, Problem Solving, Business Valuation, Decision Analysis, Return on Investment, Strategic Planning, Solution Selling, Customer Relations, Program Management, Team Building, Solution Architecture, Technical Analysis, Project Management, Sales Presentations, Sales Presentation..., Sales Process, RTL design, Building Relationships, Major Account..., Team Leadership, TCL, EDA, Semiconductors, ASIC, Verilog, VHDL, Technical Sales..., FPGA, Linux, Unix, Product Marketing, SoC, IC, SystemVerilog, IP, Hardware, Integration, Product Development, Windows, Mixed Signal, Electronics, Product Management, Simulations, Debugging, Integrated Circuit..., Sales Engineering, New Business Development, Business Development, Microprocessors, Management
Education
Manhattan College 1982 — 1983
Masters Of Engineering, Electrical Engineering
Manhattan College 1978 — 1983
Masters, Electrical Engineering
Oracle October 2012 - Present
Semiconductors Industry February 2009 - October 2012
Simucad Design Automation 2008 - 2008
Synopsys, Inc 2004 - 2008
Integrated Systems Engineering 1999 - 2004
Mikron Corporation, Zelenograd, Moscow, Russia 1998 - 1999
Skills
Semiconductors, Mixed Signal
Education
Novosibirsk State Technical University (NSTU)
MSEE, Applied Physics
Apple 2012 - Present
Zynga 2011 - 2012
Amobee Media Systems (acquired by SingTel) 2009 - 2011
Yahoo! 2006 - 2009
Synopsys Inc. 2004 - 2006
Inktomi Corp. 2000 - 2003
Synopsys Inc. 1994 - 2000
Duet Technologies 1992 - 1994
Cadence Design Systems 1990 - 1992
Education
The Ohio State University 1988 — 1990
MS
Indian Institute of Technology, Delhi 1984 — 1988
B.Tech
Synopsys Inc July 2015 - Present
Codenomicon May 2014 - July 2015
Sushi Omakase May 2010 - May 2014
San Diego State University May 2006 - May 2010
Focus Sports Therapy May 2003 - May 2005
Skills
Sales, Communication Skills, Customer Service, Restaurant Management, Food & Beverage, Responsible Service of..., Sushi, Intercollegiate..., Bartending, Customer Satisfaction, Customer Retention, Quality Assurance, Time Management, Event Planning, Communication, Microsoft Office, Social Networking, Microsoft Excel, Leadership, PowerPoint, Social Media Marketing, Social Media, Public Speaking, Microsoft Word
Education
San Diego State University-California State University 2005 — 2010
Bachelor of Applied Science (BASc), Kinesiology and Exercise Science
San Benito High School 2001 — 2005
GE
Synopsys Inc August 2015 - Present
Skills
EDA, Product Management, R&D, Low Power Verification, Customer Operations, Application Engineering, Global Management, ASIC, SoC, Simulations, VLSI, TCL, Verilog, Low-power Design, Static Timing Analysis, Perl, Debugging, SystemVerilog, Semiconductors, IC
Education
University of Pennsylvania - The Wharton School 2013 — 2015
Master of Business Administration (MBA)
National Institute of Technology Karnataka 1997 — 2001
Bachelor of Engineering (B.E.)
Synopsys Inc June 2015 - August 2015
Cargo Chief March 2015 - May 2015
Santa Clara University July 2014 - September 2014
Skills
Java, Big Data, Natural Language..., Machine Learning, Python, Hadoop, Distributed Systems, Cloud Computing, MapReduce, Linux, Team Leadership, SQL, R, JavaScript, PowerPoint, C++
Education
Santa Clara University 2014 — 2015
Master of Science (M.S.), Computer Science and Engineering
Northwest Missouri State University 2010 — 2013
Bachelor's degree, Management Information Systems, General
Synopsys Inc May 2015 - Present
SEMATECH November 2011 - Present
The Research Foundation for SUNY September 2009 - May 2015
Universal Technology Corporation May 2009 - August 2009
Louisiana Tech University December 2007 - May 2009
Skills
Nanotechnology, Materials, CMOS, Monte Carlo Simulation, Microsoft Office, Computational Physics, Characterization, Synopsys Sentaurus TCAD, Ion Implantation, Simulated Annealing, VLSI, Simulations, Thin Films, Matlab, Data Analysis, Materials Science, Process Simulation, Accelrys Materials..., GAUSSIAN 03®, Design of Experiments, TCAD, Physics, Spectroscopy, Semiconductors
Education
State University of New York at Albany 2009 — 2015
PhD, Nanoscience
State University of New York at Albany 2012 — 2014
Master of Business Administration (MBA), Nanotechnology
Louisiana Tech University 2006 — 2009
B.S., Nanosystems Engineering (Microsystems Concentration)
Synopsys Inc May 2015 - August 2015
Infovision Technologies July 2013 - June 2014
Skills
Java, MySQL, Data Analysis, Business Intelligence, JavaScript, Data Warehousing, HTML, SharePoint, Software System Analysis, Python, SAS, SQL, Oracle, C++, C, Linux, Teamwork, C#, PHP, Windows, Programming, ASP.NET, SDLC, SharePoint BI, Microsoft SCCM
Education
Rawls College of Business at Texas Tech University 2014 — 2016
Master's degree, Management Information Systems and Services, 3.60
AISSMS IOIT 2010 — 2013
Bachelor's degree, Information Technology, GPA:3.7
Maharashtra Institute of Technology 2008 — 2010
Associate's degree, Information Technology, 3.8
MStar Semiconductor July 2014 - Present
MStar Semiconductor March 2010 - July 2014
Synopsys Inc February 2006 - March 2010
Skills
Software Development, Embedded Software, Software Engineering, Digital TV, Embedded Systems, C, C++, Debugging, Algorithms, Testing, EDA, Object Oriented Design, Software Project...
Education
National Chiao Tung University 2003 — 2005
Master's degree, Computer Science, Major GPA: 3.86
National Chiao Tung University 1999 — 2003
Bachelor's degree, Computer Science, Major GPA: 3.27
Oracle Labs August 2015 - Present
Atrenta July 2011 - July 2015
Apache Design Solutions, Inc. September 2009 - July 2011
Sequence Design, Inc. (acquired by Apache Sept 2009) July 2006 - September 2009
Aztec Diseno e Ingenieria, S.A. de C.V. October 2004 - March 2006
Magma Design Automation October 2003 - October 2004
Synopsys Inc February 2002 - October 2003
Cadence Design Systems May 1999 - February 2002
Ambit Design Systems (Acquired by Cadence Design 1999) October 1997 - May 1999
Cadence Design Systems May 1995 - October 1997
Skills
Debugging, EDA, Product Management, Semiconductors, TCL, Power Analysis, Strategic Account, Formal Verification, Sales, Strategic Partnerships, Start-ups, FPGA, Static Timing Analysis, Verilog
Education
LeTourneau University 1987 — 1992
Electrical Engineering, Electrical and Electronics Engineering
Synopsys Inc Staff EngineerSynopsys IncJanuary 2010 - Present
Skills
C, C++, Debugging, VLSI, VHDL, TCL, Linux, Software Development
Education
Northwestern University 2004 — 2008
Doctor of Philosophy (Ph.D.), Electrical Engineering and Computer Science, 4.0
Santa Clara University Frugal Innovations Lab June 2014 - June 2015
Santa Clara University January 2013 - June 2015
Santa Clara University Center of Nanostructures September 2013 - January 2014
Synopsys Inc June 2013 - August 2013
Skills
Matlab, Labview, Circuit Analysis, C programming, Instrument experience..., Experience with..., Digital Signal..., Logic Design, Assembly Language, MIPS, Verilog, Electronic Design..., Network Architecture, Web Programming, PowerPoint, Microsoft Word, LabVIEW, Microsoft Office, Social Networking, Microsoft Excel, C
Education
Rice University 2015 — 2021
Doctor of Philosophy (Ph.D.), Electrical and Computer Engineering
Santa Clara University 2011 — 2015
Bachelor of Science, Electrical Engineering; Computer Science and Engineering, 3.98
Xavier School 1998 — 2011
High School
Hewlett-Packard April 2015 - Present
Dell July 2011 - March 2015
Cadence Design Systems January 2011 - June 2011
Synopsys June 2006 - December 2010
Synopsys Inc December 1997 - May 2006
Viewlogic corporation August 1997 - November 1997
Skills
Product Requirements, SaaS, Enterprise Software, Cloud Computing, Semiconductors, Product Management, Product Marketing, Product Lifecycle..., Go-to-market Strategy, Product Planning, EDA, Cross-functional Team..., Competitive Analysis, Messaging, Account Management, Business Strategy, Storage, Virtualization, Sales Enablement, Sales Process, Hardware, Strategy, Project Management, Pre-sales, SoC, Product Launch, Sales Operations, Product Development, Strategic Partnerships, Partner Management, Embedded Systems, Mobile Devices, Management, Business Alliances, Demand Generation, Start-ups, Technical Marketing, ASIC, Processors, IC, Professional Services, Strategic Alliances, Analytics, Positioning, Systems Management, Sales Engineering, Salesforce.com, Data Center, Solution Selling, Multi-channel Marketing
Education
The University of Texas at Austin - The Red McCombs School of Business 2007 — 2009
MBA, Focus on - Product Marketing, New Venture Creation and Customer Support
Northern Illinois University 1995 — 1997
M.S EE, ASIC/FPGA design and verification
National Institute of Technology Surat 1991 — 1995
B.E, Electronics and Communication
Synopsys Inc June 2015 - September 2015
Skills
C, Java, MIPS Assembly, Data Structures, Logisim, JavaScript, Python, HTML, Computer Science, Algorithms, Data Analysis, Matlab, Mathematics, Microsoft Office, Oracle SQL Developer, Programming, C++, Research, Microsoft Word, PowerPoint, SQL, TCL
Education
University of California, Berkeley 2013 — 2015
Bachelor of Arts (B.A.), Applied Mathematics
Penn State University 2011 — 2013
Mathematics, 3.9
Synopsys Inc July 2015 - Present
Elliptic Technologies July 2014 - July 2015
ITo 2.0 May 2014 - July 2014
Alcatel-Lucent October 2011 - 2013
Alcatel-Lucent January 2007 - October 2011
Nortel April 2002 - December 2006
Nortel Networks May 1999 - April 2002
Skills
Telecommunications, LTE, UMTS, Linux, IP, Wireless, SIP, GSM, Project Management, Leadership, Budget, System Analysis
Education
Queen's University
Bachelor, Applied Science
Queen's University
Masters in Business Administration, Finance
Victoria Park Secondary School
Synopsys Inc October 2004 - Present
Freescale Semiconductor July 1999 - October 2004
Motorola July 1989 - July 1999
Skills
Microsoft Office, Management, Microsoft Excel, Microsoft Word, Research, PowerPoint, Sales, Leadership, Training, Photoshop, Semiconductors, ASIC
Education
Purdue University 1984 — 1989
Bachelor’s Degree, Electrical, Electronics and Communications Engineering
Alvarez & Marsal 2015 - Present
Infosys June 2014 - 2015
Synopsys August 2012 - June 2014
Symantec June 2012 - August 2012
Synopsys Inc. June 2001 - June 2012
Skills
Cross-functional Team..., Functional Verification, ASIC, EDA, Program Management, SoC, Debugging, Algorithms, TCL, Semiconductors, Strategic Negotiations, Competitive Strategies, Market Analysis, Marketing Strategy, M&A analysis, Financial Analysis, Financial Modeling, Executive-level..., Cross-functional..., Global Business..., Business Development, Competitive Analysis, Management, Product Management, Product Development, VLSI
Education
University of California, Berkeley - Walter A. Haas School of Business
MBA, Finance, Stategy
National Institute of Technology Calicut 1997 — 2001
Bachelor of Technology, Computer Science and Engineering
Synopsys Inc June 2015 - September 2015
Talend February 2014 - September 2014
Robert Half Finance and Accounting November 2013 - December 2013
BDO China Shu Lun Pan CPA Co., Ltd. February 2011 - March 2011
Skills
Java, Python, SQL, Databases, Data Warehousing, Data Analysis, MapReduce, Hadoop, Pig, Apache Spark, JavaScript, CSS, MySQL, PHP, Node.js, MongoDB, Amazon Web Services..., ERP, NetSuite, Microsoft Access, System integration, Linux, Accounting, Financial Analysis, Auditing, Tax, Financial Statements, Microsoft Excel
Education
Santa Clara University 2014 — 2016
Master of Science (M.S.), Information Systems, 3.89/4
Loyola University Chicago 2011 — 2013
Master of Science (MS), Accounting, 3.85/4
Southwestern University of Finance and Economics 2007 — 2011
Bachelor of Business Administration (BBA), Financial Management
Taiyuan No. 5 High School 2004 — 2007
High School
LinkedIn September 2015 - Present
LinkedIn October 2014 - September 2015
LucidWorks April 2013 - October 2014
SnapLogic 2011 - 2013
Endeca January 2007 - December 2011
Apsioma 2001 - 2007
Calico Commerce Inc June 1999 - 2001
Synopsys Inc May 1997 - January 1999
Skills
Application Engineers, Technical Support, C, SaaS, Bash, Embedded Linux, Cloud Computing, ARM Cortex-M, Linux, Java, SQL, Databases, Troubleshooting, Customer Support, Apache, Unix, TCP/IP, Python, MySQL, JavaScript, Embedded C, Perl, Microsoft SQL Server, Embedded Systems, RTOS
Education
Rochester Institute of Technology 2003 — 2005
Master of Science (MSc), Software Development and Management, 3.8
Rochester Institute of Technology 1992 — 1997
Bachelor of Science (BSc), Microelectronic Engineering, 3.0
Synopsys Inc June 2015 - Present
Qualcomm September 2012 - July 2014
Qualcomm July 2011 - September 2012
Skills
Algorithms, Data Structures, core java, Core Java, python, C++, Perl, Python, C, WCDMA, Protocol Stacks, Debugging, Embedded Software, Device Drivers, Embedded Systems, Eclipse, Linux, SQL, Java, MySQL, JavaScript
Education
Santa Clara University 2014 — 2016
Master's degree, Computer Science
International Institute of Information Technology 2007 — 2011
B.Tech, CSE
Senior SecondaryNarayana junior college
Secondary
St. Francis De Sales' high school
Synopsys Inc June 2015 - August 2015
NVIDIA November 2012 - June 2014
Ittiam Systems July 2011 - July 2012
National Instruments January 2011 - June 2011
Skills
Data Structures, C, Algorithms, Linux, C++, Python, Java, JavaScript, Node.js, PL/SQL, Shell Scripting, Perl, Programming, Git, Github, SQL, MySQL, Android, CVS, Linux Kernel, Embedded Systems, Eclipse, HTML, Machine Learning, Labview, Agile Methodologies, Ruby, Ruby on Rails
Education
North Carolina State University 2014 — 2015
Master’s Degree, Computer Science
PESIT 2007 — 2011
Bachelor's Degree, Computer Science, 8.17
MES Kishora Kendra PU College 2005 — 2007
MES Kishora Kendra
SSLC
Synopsys Inc May 2015 - Present
North Carolina State University January 2014 - May 2015
Oracle (formerly Tekelec) January 2013 - August 2013
Siemens Energy January 2012 - August 2012
Consert, Inc. May 2010 - August 2010
NCSU Student Media January 2010 - May 2010
Skills
C, C++, CUDA C, Verilog, Python, PHP, Embedded Systems, Linux, HTML, Apache, MySQL
Education
North Carolina State University 2013 — 2015
Master of Science (MS), Computer Engineering
North Carolina State University 2008 — 2013
Bachelor of Science (BS), Computer and Electrical Engineering
Synopsys Inc September 2015 - Present
Michigan Technological University September 2009 - Present
Cadence Design Systems May 2013 - August 2013
Skills
Linux, Matlab, C++, C, Verilog, VLSI CAD, Microsoft Office, Modeling, Perl, Lua, Photoshop, Photography
Education
Michigan Technological University 2009 — 2015
Doctor of Philosophy (Ph.D.), Electrical and Electronics Engineering, 3.75
Xi'an Jiaotong University 2004 — 2008
Bachelor's Degree, EE
Synopsys Inc September 2015 - Present
Intel Corporation April 2015 - September 2015
US Tech Solutions April 2015 - September 2015
ITech Consulting March 2015 - September 2015
PRINCE PARK RESIDENCE HOTEL August 2012 - August 2015
SC TURIST INVEST SRL July 2012 - August 2015
SC SOLARGREEN INTERNATIONAL April 2011 - August 2015
Sky Energy Worldwide September 2013 - April 2015
Sky Energy Italia srl January 2008 - April 2015
SKY ENERGY WORLDWIDE March 2013 - September 2013
Skills
Ingegneria, Management, Strategia d'impresa, Energia solare..., Energie rinnovabili, Semiconduttori, Project planning, Electronic design..., ASIC, Digital IC Design, Pianificazione..., Renewable Energy, EDA, Electrical Design, Photovoltaics, Wind Power, Efficienza energetica, Negoziazione contratti, Elettrotecnica, Business Strategy, Energia solare, Project management, Energia, Trattative, Business Development, Sales
Education
Université Paris Sud (Paris XI) 2006 — 2007
Master's degree, mediazione aziendale
Arizona State University 1998 — 2000
Master of Engineering (M.Eng.), Ingegneria elettrica ed elettronica
Northern Arizona University 1993 — 1996
Bachelor of Electrical Engineering, Bachelor of Science in Electrical Engineering
Politecnico di Milano 1991 — 1992
Electrical Engineering, Ingegneria elettrica ed elettronica
LSI Corporation October 2011 - Present
Casanova Energy May 2009 - Present
CHIPX Corp January 2004 - November 2009
TXU Power Saver Challenge June 2009 - October 2009
Engineering Safety Consultants January 2003 - January 2004
MENTOR GRAPHICS CORPORATION / ESCALADE CORP January 1999 - February 2002
SYNOPSYS INC June 1997 - December 1998
LSI Logic January 1988 - June 1997
Skills
Semiconductors, EDA, Pre-sales Technical..., Safety Engineering, Energy Conservation, Testing, ASIC, FPGA, IC, Debugging, Cross-functional Team..., DFT, Electronics, Embedded Systems, Engineering, Management, Microprocessors, Mixed Signal, Physical Design, Power Management, Pre-sales, Project Management, Simulations, SoC, TCL, VHDL, Verilog, Analog
Education
Rutgers University-New Brunswick 1984 — 1987
BSEE, Electrical Engineering
Miami Dade College 1979 — 1982
AA, Math, Physics, Chemistry, and Psychology
Christopher Columbus High School 1974 — 1978
HS Diploma, Math, Science, Creative Writing
Synopsys March 2014 - Present
harry the ASIC guy March 2008 - Present
Duolog Technologies October 2011 - February 2014
Xuropa July 2009 - December 2012
Northrop Grumman March 2007 - March 2011
Synopsys May 2005 - December 2006
Synopsys Inc. 2001 - 2005
Synopsys Inc. 1998 - 2001
Synopsys Inc. 1995 - 1998
Synopsys Inc. 1992 - 1995
Skills
EDA, ASIC, VLSI, Program Management, Semiconductors, DFT, IC, SoC, Static Timing Analysis, Integrated Circuit..., FPGA, Hardware Architecture, Verilog, Simulations, Logic Synthesis, TCL, Mixed Signal, Formal Verification, RTL design, Semiconductor Industry, Functional Verification, Hardware, Perl, VHDL
Education
University of Southern California 1985 — 1987
MS
Massachusetts Institute of Technology 1981 — 1985
BS
Samsung Semiconductor Inc March 2015 - Present
Samsung Semiconductor Inc., July 2014 - February 2015
University of California at Berkeley June 2012 - July 2014
UC Berkeley January 2013 - May 2014
IMEC and Katholieke Universiteit Leuven July 2011 - September 2011
Synopsys Inc. June 2010 - September 2010
Skills
Simulations, Semiconductor Device, Semiconductors, CMOS, Matlab, Nanotechnology, Solid State Physics, Silicon, C++, Numerical Simulation, Device Characterization, Microelectronics, Semiconductor Devices, Electrical Engineering, Characterization, Semiconductor..., Electrical Testing, Academic Writing, R&D, RRAM, Phase Change Memory, STT-MRAM, MEMS, BEOL
Education
University of California, Berkeley 2008 — 2012
Doctor of Philosophy (Ph.D.), Electrical Engineering
University of California, Berkeley 2008 — 2010
Master of Science, EECS
Peking University 2004 — 2008
B.S., Microelectronics
Deloitte July 2013 - Present
Deloitte Consulting September 2011 - Present
Fisher Professional Services April 2010 - June 2011
Fisher College of Business September 2009 - June 2011
Columbus Council on World Affairs July 2010 - October 2010
Keane September 2008 - August 2009
Keane Inc September 2007 - August 2008
Keane May 2005 - August 2007
Synopsys, Inc December 2004 - April 2005
Skills
Strategy, Business Process, IT Strategy, Management Consulting, ERP, Analytics, Project Management, Analysis, Business Development, Leadership, Oracle, Software Development, Financial Modeling
Education
The Ohio State University Fisher College of Business 2009 — 2011
Master of Business Administration, Strategy Consulting/Finance
PSG College of Technology 2001 — 2005
BE, Computer Science
Synopsys Inc June 2015 - Present
Intel Corporation October 2012 - May 2015
Texas A&M University August 2010 - August 2012
Texas A&M University May 2011 - May 2012
Texas A&M University March 2011 - May 2011
Education
Texas A&M University 2010 — 2012
M.S, Electrical and Computer Engineering
National Chung Cheng University 2005 — 2009
B.S, Electrical Engineering
Synopsys June 2011 - Present
Atrenta January 2009 - June 2011
Atrenta Inc. July 2007 - January 2009
FishTail Design Automation December 2003 - July 2007
CoWare 2003 - 2003
Synopsys Inc. May 2000 - December 2002
Synopsys 1998 - 2000
Sony Semiconductor Europe 1996 - 1998
Queen's University of Belfast 1990 - 1994
Skills
Product Marketing, EDA, Product Development, SoC, Semiconductors, Business Development, ASIC, Product Management, Technical Marketing, IC, Start-ups, Competitive Analysis, Product Launch, VLSI, Marketing Strategy, Pre-sales, Marketing, Verilog, Technical Communication, Management, Go-to-market Strategy, Integrated Circuit..., Product Launches
Education
Queen's University Belfast 1990 — 1994
Bachelor of Engineering, Electrical & Electronic Engineering
Twitter July 2013 - Present
Dropbox February 2012 - June 2013
MIT February 2008 - March 2012
CSAIL February 2008 - May 2009
International Research Institute of Autonomic Network Computing (IRIANC) January 2009 - February 2009
International Research Inst of Autonomic Network Computing January 2009 - January 2009
Synopsys May 2008 - August 2008
Synopsys Inc May 2008 - August 2008
Bulgarian Math Team 2005 - 2005
Skills
Artificial Intelligence, Matlab, Applied Mathematics
Education
Massachusetts Institute of Technology 2006 — 2010
Bachelor, Computer Science, Mathematics
Academician Kiril Popov High School of Mathematics
Academician Kiril Popov High School of Mathematics
mathematics
Massachusetts Institute of Technology
Bachelor of Science; Masters of Engineering, Computer Science; Computer Science
Massachusetts Institute of Technology
Bachelor of Science; Masters of Engineering, Computer Science; Computer Science
Math High School Plovdiv
Math National Team
Ventana Medical Systems, A Member of the Roche Group December 2011 - Present
123Signup 2005 - 2011
Synopsys Inc 2000 - 2004
Digi International 1999 - 2000
City of San Jose 1997 - 1999
Skills
DNS, Technical Support, TCP/IP, DHCP, Microsoft Exchange, Servers, Troubleshooting, Networking, Software Documentation, Windows, Operating Systems, Visio, Digital Pathology, Train the Trainer...
Education
Notre Dame de Namur University
Bachelor of Arts, Psychology
Synopsys Inc May 2015 - Present
Amdocs August 2012 - June 2014
Skills
Java, JSP, Servlets, GWT, Hadoop, MapReduce, Node.js, Ruby on Rails, JavaScript, C++, jQuery, Sencha, Hibernate, Spring Framework, Maven
Education
North Carolina State University 2014 — 2016
Master's Degree, Computer Science, 4.0
FrCRCE, University of Mumbai 2008 — 2012
Bachelor of Engineering (B.E.), Computer Engineering, 73.81
Synopsys February 2011 - Present
Synopsys, Inc 2005 - February 2011
Scintera Networks October 2003 - July 2005
Silicon Image 2001 - 2003
indusLive, Inc. 1999 - 2001
Neomagic 1999 - 2000
National Semiconductor Corporation August 1988 - March 1999
Cadence Design Systems 1992 - 1993
Skills
Product Engineering, EDA, ASIC, SoC, Semiconductors, IC, Verilog, VLSI, Mixed Signal, Physical Design, FPGA, Low-power Design, Embedded Systems, TCL, Analog, Debugging, Integrated Circuit..., CMOS, Processors, RTL design, Analog Circuit Design
Education
Oregon State University 1986 — 1988
M.S
National Institute of Technology Tiruchirappalli 1979 — 1984
B.E
Doulos Ltd August 2011 - Present
scftgu.com 2003 - Present
XtremeEDA USA Corporation July 2008 - August 2011
TX512 Web Services 2002 - 2011
Eklectically Inc DBA ESLX Inc 2003 - 2008
Synopsys Inc April 2001 - December 2002
Qualis Design October 1997 - March 2001
Apple Computer December 1994 - October 1997
Tandem Computers September 1988 - December 1994
ROLM Corporation November 1981 - September 1988
Skills
SystemC, SystemVerilog, UVM, EDA, Modeling, Mac OS X, ASIC, VCS, Perl, Verilog, TCL, C, C++, Linux, Assembly, System Architecture, Software Development, Hardware, Unix, Shell Scripting, XML, ModelSim, Programming, Debugging, CVS, HTML, Bash, Simulation, Testing, VHDL, FPGA, Parallels, GNU Make, Simulations, Technical Writing, Semiconductors, Electronics, Firmware, Manufacturing, Integrated Circuit..., Embedded Systems, Logic Synthesis, SoC, IC, CMOS, Specman, ARM, Compilers, RTL design, Embedded Software
Education
Rice University 1974 — 1980
BSEE/BACS
GE Global Research, San Ramon Software Center of Excellence January 2015 - Present
Cisco Systems November 2011 - October 2014
Cisco Systems October 2007 - November 2011
Synopsys, Inc May 2001 - October 2007
Commerce One July 2000 - May 2001
Synopsys, Inc. November 1991 - July 2000
Skills
XSLT, XML, XQuery, SGML, MySQL, Python, JavaScript, AJAX, Lisp, DBMS, Information Management, Cocoon, eXist, Perl, Java, FrameMaker, Technical Writing, XPath, DITA, Agile Methodologies, Information Architecture, Online Help, HTML, jQuery, Requirements Analysis, Ant, DITA XML
Education
University of California, Santa Cruz 1984 — 1988
M.A., Mathematics
Washington University in St. Louis 1982 — 1984
M.Sc., Systems Science and Engineering
Princeton University 1974 — 1979
B.A., Independent Study in Theatre
Google Inc. May 2006 - Present
Synopsys Inc. August 2001 - May 2006
Stratify Inc. February 2000 - August 2001
Synopsys Inc. October 1995 - February 2000
Synopsys Inc. May 1995 - August 1995
AT&T Bell Labs May 1992 - August 1992
Skills
Software Engineering, Engineering Management, Search, Search Engine, Data Analysis, Algorithms, Computer Science, EDA, Technical Leadership, Big Data, Data Mining
Education
University of Texas at Austin 1990 — 1995
Ph.D., Computer Science
Indian Institute of Technology, Bombay 1986 — 1990
B. Tech., Computer Science
Synopsys Inc. 2015 - Present
Synopsys Inc. July 2010 - Present
Mentor Graphics February 2008 - July 2010
Synopsys Inc. August 2005 - February 2008
University of Wisconsin-Madison September 2002 - May 2005
Intel Corporation June 2004 - August 2004
EKI Digital Technology Corporation November 1996 - June 1999
Skills
EDA, Physical Design, TCL, Perl, Verilog, SoC, Static Timing Analysis, Timing Closure, Simulations, Computer Architecture, Algorithms, ModelSim, Clock Tree Synthesis, Processors
Education
University of Wisconsin-Madison 2001 — 2005
PhD
National Taiwan University 1997 — 1999
MS
National Taiwan University 1992 — 1997
BA
Lymboo March 2012 - Present
Cadence Design Systems August 2006 - March 2012
Zenasis Technologies, Inc January 2005 - July 2006
Synopsys, Inc May 2000 - January 2005
Skills
EDA, Logic Synthesis, Physical Design, C, C++, Algorithms, Static Timing Analysis, VLSI, Verilog, SoC, Perl, Semiconductors, FPGA, TCL, Simulations, ASIC, Python, Software Engineering, PHP, JavaScript, HTML, CSS, SVG, Data Structures, MySQL, jQuery, CVS, R, Git, Github
Education
University of Colorado Boulder 1994 — 2000
Ph.D., Electrical Engineering (VLSI CAD)
Politecnico di Torino, Italy 1997 — 1998
Visiting Researcher, VLSI CAD
Villanova University 1992 — 1994
M.S.E.E, Electrical Engineering (Signal Processing)
Osmania University 1988 — 1992
B.E, Electronics Engineering
Unknown/TBD October 2015 - Present
Dell August 2015 - October 2015
Viavi Solutions December 2014 - June 2015
Independent Consultant 2013 - 2014
Hewlett-Packard February 2011 - June 2013
Oracle February 2005 - February 2010
Tera Systems Inc March 2003 - December 2004
Synopsys Inc June 1998 - December 2002
Skills
Program Management, Strategy, Product Management, Management, Business Strategy, Product Marketing, Cross-functional Team..., Product Development, CRM, Team Management, Engineering, Strategic Planning, Project Management, Remote Team Management, Technical marketing, Enterprise Application..., Project Execution, Cloud Computing, New Product Initiative
Education
Haas School of Business, UC Berkeley
MBA
Indian Institute of Technology, Kharagpur
BTech. (Hons.)
San Jose State University
MSEE
Intuit August 2014 - Present
PayPal December 2012 - August 2014
PayPal June 2011 - December 2012
PayPal February 2012 - November 2012
PayPal November 2009 - November 2012
PayPal March 2008 - November 2009
PayPal June 2007 - March 2008
Synopsys Inc April 2001 - May 2007
Synopsys Inc June 2004 - June 2005
Synopsys June 1997 - August 1999
Skills
Cross-functional..., Customer Centricity, End-to-End Solutions, Product Management, Program Management, Product Development, Cross-functional Team..., Enterprise Software, Product Marketing, Technical Leadership, Analytics, Mobile Applications, Leadership, Strategy, Mobile Technology, Go-to-market Strategy, Partner Development, Strategic Partnerships, Software Development, E-commerce, Partner Management
Education
Indian Institute of Technology, Bombay
B Tech, Electrical Engineering
University of Maryland Baltimore County
MS, Electrical Engineering
Grey Ghost Studio June 2009 - Present
Intel Corporation August 2005 - March 2007
Intel Corporation December 2004 - August 2005
Intel Corporation December 2001 - December 2004
Intel Corporation August 2000 - December 2001
FEI Company August 1999 - August 2000
Synopsys Inc February 1998 - August 1999
CDI Corp January 1997 - February 1998
Intel Corporation May 1996 - January 1997
Skills
Marketing Strategy, Go-to-market Strategy, Product Marketing, Demand Generation, Multi-channel Marketing, Product Launch, Cross-functional Team..., Product Management, Competitive Analysis, Strategic Partnerships, Channel, Marketing Management, Sales Enablement, Program Management, Marketing Communications, Lead Generation, Product Development, Start-ups, Business Alliances, Marketing, Market Research, Management
Education
Oregon Health and Science University 2002 — 2003
Courses towards Masters of Science & Technology Management
Oregon State University 1990 — 1995
Bachelor of Arts, English
Microsoft April 2010 - Present
Yahoo! September 2005 - April 2010
Synopsys Inc September 2001 - August 2005
Skills
Hadoop, Algorithms, Distributed Systems, Python, C/C++, Scalability, MapReduce, Big Data, Machine Learning, Software Engineering, Perl, Data Mining
Education
Indian Institute of Technology, Kharagpur
Integrated Masters (5 yrs), Mathematics
University of Illinois at Urbana-Champaign
MS, Computer Science
Yahoo! Inc September 2013 - Present
Yahoo! Inc March 2011 - August 2013
Yahoo! Inc February 2006 - March 2011
Synopsys Inc June 2001 - January 2006
Mentor Graphics 1998 - 2000
Skills
Scalability, Algorithms, Distributed Systems, Technical Leadership, C/C++, Unix, Java, OOP, Data Streaming, Software Engineering, High Performance..., Debugging, Parallel Computing, Object Oriented Design, Multithreading, Engineering Management, Machine Learning, Finance Domain, Performance Tuning, performance optimization, Team Effectiveness, Hadoop, C++, Apache Storm, HBase, Octave
Education
Indian Institute of Technology, Kanpur 1996 — 1998
MTech
Motilal Nehru National Institute Of Technology 1992 — 1996
B.E
Synopsys Inc January 2015 - Present
Synopsys June 2006 - December 2014
Silicon Frontline Technology November 2005 - June 2006
Berkeley Design Automation June 2005 - October 2005
Nassda Corp. September 1999 - June 2005
Synopsys Corp. September 1997 - September 1999
ECE Dept., North Carolina State University, Raleigh September 1994 - September 1997
Education
Wayne State University 1992 — 1994
Ph.D., Electrical Engineering
Semicond. Natl. Lab (Kiev, U.S.S.R) 1985 — 1989
Ph.D., Semiconductor Physics
Kyiv National Taras Shevchenko University 1980 — 1985
Runtime Design Automation December 2013 - Present
Oracle December 2011 - December 2013
Phoenix Technologies September 2009 - September 2011
Synopsys May 2008 - September 2009
Silicon Navigator April 2007 - April 2008
Synopsys, Inc September 2001 - April 2007
Incache LLC August 2004 - March 2006
Innoveda, Inc August 1995 - September 2001
Viewlogic Systems, Inc August 1995 - September 2001
NYS Center for Advanced Technology in Automation, Robotics and Manufacturing, RPI August 1990 - August 1995
Skills
C/C++ STL, C#, .NET, Qt, MFC, Linux, Windows, Visual Studio, Eclipse, Purify, Quantify, MS Project, MS Office Suite, PHP, HTML/CSS/Javascript, Java, XML, JDeveloper, Software Development, Algorithms, Web Services, Unix, Subversion, Cloud Computing, Debugging, Microsoft Project, Enterprise Software, Software Engineering
Education
Rensselaer Polytechnic Institute 1990 — 1995
M.S., Ph.D., Computer Systems Engineering
Indian Institute of Technology, Delhi 1982 — 1986
B.Tech, Civil Engineering
Holy Child School, Ghaziabad
Synopsys Inc. 1998 - Present
Education
Indian Institute of Technology, Kharagpur 1990 — 1994
Synopsys Inc August 2015 - Present
Skills
Primetime, Verilog, NCSim, VHDL, Perl, C, Csh, ModelSim, Verdi, Conformal, Spyglass, Formality, Design Methodology, C++, Tcl/Tk, VCS, DC, HSPICE, ETS, PowerMeter, coreTools, CHIPit, MBIST, Logic Simulation, Synthesis, STA, Power Analysis/Reduction, Logic Equivalence Check, MBIST/Scan Simulation, IP Support, Licensing Support, CAD/CAE Support, CAD Tool Evaluation, CAD Tool Training, Lint Tools, ASIC Design Flow, EDA Support, Memories Generation, Standard Cell Libraries..., TCL, ASIC, EDA, Simulations, Logic, Timing Closure, Static Timing Analysis, VLSI, Logic Synthesis, Semiconductors, SoC
Education
University of California, Berkeley 1981 — 1982
MSEE
Kettering University 1976 — 1981
BSEE
Synopsys Inc. Technical Director, CTOTransEDA Inc.2001 - 2005
DualSoft 1999 - 2000
Chrysalis Inc April 1995 - October 1999
Chrysalis Symbolic Design 1995 - 1999
Cadence Design Systems 1990 - 1995
CLSI Inc 1987 - 1990
Skills
Verilog, TCL, VLSI, EDA, Simulations, SoC, Formal Verification, ASIC, IC, SystemVerilog, RTL design, FPGA, Debugging, Semiconductors, Perl
Education
North Carolina State University 1985 — 1987
University of Mumbai 1980 — 1983
B.Sc, Physics
New Era School 1967 — 1977
Watumul Institute Of Electronic Engineering
Synopsys,inc June 2005 - January 2011
Synopsys Inc February 2005 - June 2005
Mohler, Nixon & Williams April 2004 - October 2004
Skills
SEC filings, Forecasting, Accounting, Sarbanes-Oxley Act, GAAP, US GAAP, Financial Reporting, Financial Analysis
Education
Liberty University 2010 — 2011
Bachelor’s Degree, Accounting and Business/Management
University of Mumbai 1992 — 1997
Bachelor’s Degree, Business/Commerce, General
Madhavrao Bhagwat High School
High School
State of California
CPA
Synopsys Inc June 2014 - Present
Synopsys August 2008 - June 2014
Synopsys Inc. August 2004 - July 2008
Dept. of Computer Science January 2004 - May 2004
Scientific Computation Research Center August 1998 - December 2003
Hewlett-Packard May 2001 - September 2001
Skills
Simulation, Distributed Systems, Algorithms, EDA, C++, Engineering Management, Semiconductors, Simulations, Software Development, High Performance..., Debugging, Software Engineering, R&D, Perl, Object Oriented Design, TCL, Multithreading
Education
Rensselaer Polytechnic Institute 2000 — 2008
PhD, Computer Science
Rensselaer Polytechnic Institute 1998 — 2000
MS, MS Mechanical Engg
Indian Institute of Technology, Madras 1994 — 1998
B. Tech, Aerospace Engg.
Little Flower Junior College 1991 — 1993
Senior High, Mathematics, Physics, Chemistry
St. Anthony's High School, Himayatnagar 1980 — 1991
High School, n.a
Intel Corporation October 2010 - Present
Intel Corporation June 2010 - October 2010
Intel Corporation November 2008 - December 2009
Synopsys Inc. August 1999 - October 2008
FLIR Systems September 1994 - June 1999
Oce-Oregon, INC October 1990 - September 1993
CONTROL-C Software, Inc January 1988 - October 1989
Floating Point Systems Inc. June 1982 - December 1987
Skills
Embedded Systems, C, Debugging, Device Drivers, Embedded Software, Linux, Object Oriented Design, Software Development, Software Engineering, USB, Integration, Testing
Education
Oregon Institute of Technology 1978 — 1982
BS, in Computer Systems Engineering Technology
Tektronix November 2013 - Present
Tektronix 2005 - October 2013
Synopsys, Inc 2000 - 2004
Medicalogic 1999 - 2000
Integrated Measurement Systems 1994 - 1999
TSSI 1992 - 1994
Skills
ClearCase, Debugging, Embedded Software, Embedded Systems, ClearQuest, Software Development, Software Project..., Programming
Education
Portland State University - School of Business 2010 — 2013
MBA
Portland State University 1996 — 1999
BS
The University of Texas at Austin 1984 — 1987
Mentor Graphics December 2014 - Present
Synopsys Inc. February 2005 - December 2014
Synopsys March 2001 - February 2005
Synopsys February 1996 - March 2001
MASI Laboratory April 1995 - February 1996
MASI Laboratory, University Pierre & Marie CURIE (Paris 6), France October 1988 - December 1994
BULL SA, Clayes/Bois, France January 1990 - December 1993
MASI Laboratory, University Pierre & Marie CURIE (Paris 6), France October 1988 - December 1993
Skills
EDA, VLSI, SoC, DFT, C, Algorithms, Testing, Data Structures, ATPG, C++, Low-power Design
Education
Université Pierre et Marie Curie (Paris VI) 1989 — 1994
PhD
Université Pierre et Marie Curie (Paris VI) 1987 — 1988
MSc
Institut national d'Informatique 1981 — 1986
Engineer Degree
Synopsys Inc March 2009 - Present
Liga Systems September 2005 - January 2009
Cadence Design April 2005 - August 2005
Verisity February 2004 - April 2005
Axis Systems October 1997 - February 2004
Education
Stanford University 1989 — 1991
MS, Electrical Engineering
Stanford University 1986 — 1988
MS, Computer Science
Indian Institute of Technology, Kanpur 1982 — 1986
BTech, Computer Science
Synopsys Inc 2001 - Present
Cadence Design Systems 1996 - 2000
Gul Technologies 1995 - 1996
Indian Telephone Industries 1990 - 1995
Indian Institute of Science 1989 - 1990
Education
National Institute of Technology Karnataka 1984 — 1988
BE, Electronics & Communication
Intel Corporation October 2014 - Present
Intel Corporation April 2013 - Present
Intel Corporation January 2012 - March 2013
Synopsys February 2011 - January 2012
Intel Corp July 2010 - February 2011
Synopsys, Inc May 2005 - July 2010
Synopsys, Inc May 1999 - September 2005
Mentor Graphics 1996 - 1999
Skills
Physical Verification, Manufacturing, Semiconductors, EDA, ASIC, IC, LVS, Verilog, Perl, Parasitic Extraction, Simulations, Testing, Debugging, Silicon, TCL, VLSI, Technical Support, SoC, Engineering
Education
Oregon State University 1994 — 1996
Lanza techVentures November 2008 - Present
Magellan October 2008 - November 2008
Magellan April 2008 - August 2008
Synopsys Inc. August 2003 - April 2008
Synopsys May 2003 - December 2004
DirecTV Broadband September 2002 - May 2003
Exodus Communications November 2000 - July 2001
Octel Communications 1987 - 2000
Education
Pacific Union College 1979 — 1983
Synopsys Inc. July 1997 - Present
Education
Indian Institute of Technology, Kanpur 1991 — 1995
Bachelors
Synopsys Inc June 2002 - Present
InSilicon Inc 1995 - 2002
Sand Microelectronics, Inc. (Acquired by Phoenix Technologies Ltd) 1995 - 1999
Sand micro 1995 - 1998
Education
Osmania University 1990 — 1994
Synopsys Inc January 2014 - Present
Silicon Frontline Technology March 2011 - January 2014
Silicon Frontline Technology March 2008 - March 2011
Silicon Frontline Technology March 2007 - March 2008
Skills
EDA, Semiconductors, IC, Debugging, C++, SoC, CMOS, Perl, Software Engineering, Mixed Signal, TCL, Software Development, C, Linux, Algorithms, Software Design
Education
National Research University of Electronic Technology (MIET) 1996 — 2001
Synopsys, Inc Software EngineerMentor Graphics Corporation1985 - 1993
Skills
Perl, EDA, ASIC, VHDL, SystemVerilog, Algorithms, TCL, Verilog, Debugging, Cross-functional Team..., SoC, Embedded Systems, C, Semiconductors, C++, FPGA, Functional Verification, Simulations, VLSI
Education
Oregon State University 1981 — 1985
Synopsys Inc September 2014 - Present
AMD September 2009 - September 2014
Intel May 2009 - September 2009
Analog Devices 2001 - 2009
Skills
Static Timing Analysis, Physical Design, Verilog, RTL design, Logic Synthesis, Clock Tree Synthesis, Timing Closure, ASIC, SPICE, Primetime, Functional Verification, Logic Design, Digital Signal..., Floorplanning, VLSI, First Encounter, Conformal LEC, PTSI, Place & Route, Formal Verification, LVS, DRC, Synopsys tools, Cadence Schematic..., Cadence Virtuoso Layout..., Perl, VHDL, Wire Engineering, TCL, standard cell, Debussy, C, DDR, I2C, CPU design, Electromigration, CMOS, Computer Architecture, Computer Arithmetic, Layout, Calibre, Star-RCXT, Physical Synthesis, Tapeout
Education
McGill University 1997 — 2001
Bachelor's degree, Electrical and Electronics Engineering
Synopsys Inc MTSPerfectus2002 - 2003
Vitesse Semiconductor 2000 - 2002
Education
Jawaharlal Nehru Technological University
San Jose State University
MS
Synopsys, Inc October 1998 - Present
Dialogic, Corp. December 1996 - October 1998
GEC-Marconi Electronic Systems, Corp. June 1992 - December 1996
Skills
EDA
Education
New Jersey Institute of Technology 1987 — 1992
BSEE, Electrical Engineering, Biomedical Systems
Karcher North America 2012 - 2012
Shepherd Interactive January 2008 - August 2009
Synopsys, Inc February 2006 - January 2008
AHA International May 2004 - February 2006
Wells Fargo Home Mortgage 2002 - 2003
Education
Portland State University - School of Business 2004 — 2006
MBA
Washington State University 1998 — 2002
BA, Sociology - Personnel and Human Relations and, Minor Business
Synopsys Inc September 2015 - Present
Cray Inc. January 2011 - August 2015
Microsoft June 2008 - January 2011
TriPlex Software 2003 - 2007
Applied Microsystems 1995 - 2002
Skills
Compilers, C++, Optimization, Software Development, C, Programming, Creative Problem Solving, Architectural Design, Debugging, Embedded Systems, RTOS, Algorithms, Software Engineering, Device Drivers, Embedded Software, Software Design, Linux
Education
North Carolina State University 1982 — 1991
PhD, Computer Engineering
University of Colorado at Boulder 1976 — 1980
BS, Electrical Engineering and Computer Science
Synopsys Inc January 2012 - Present
synopsys india February 2007 - January 2012
Skills
Unix, Perl, ABAP, Integration, Cloud Computing, SAP, SAP R/3, SAP BW, Java, Quickbase Development, CRM 7 Ehp2 Upgrade, Web Services, SAP CRM, SAP Implementation, CRM, SAP ERP, Requirements Analysis, Business Intelligence, Software Project..., ERP, Master Data, SDLC, RFC, Middleware, Business Process, SAP Netweaver, Data Migration
Education
Albert-Ludwigs-Universität Freiburg im Breisgau 2003 — 2005
Master's degree, Computer Science
Osmania University
Synopsys Inc November 2014 - Present
Synopsys April 2013 - November 2014
Analog Devices October 2008 - January 2013
Analog Devices June 2007 - November 2007
Skills
ASIC, Static Timing Analysis, SoC, VLSI
Education
Rochester Institute of Technology 2006 — 2008
Jawaharlal Nehru Technological University 2002 — 2006
B.Tech, Electronics and Communication
Synopsys Inc March 2013 - Present
Synopsys April 2008 - March 2013
Synopsys October 2004 - April 2008
Monterey Design Systems February 2004 - October 2004
Lightspeed Semiconductor October 2002 - September 2003
Clear Logic 1998 - 2002
Skills
EDA, Semiconductors, IC, ASIC, TCL, FPGA, Software Engineering, Verilog, Mixed Signal, Algorithms, Perl, Signal Integrity, VLSI, Physical Design, CMOS
Education
University of California, Berkeley 1985 — 1992
M.A., Physics
Massachusetts Institute of Technology 1981 — 1985
B.S., Physics
Nimble Storage July 2012 - Present
Barracuda Networks March 2011 - May 2012
Synopsys Inc October 2007 - March 2011
Centerpointe Research Institute June 2006 - September 2007
Skills
Perl, Linux, C, Python, Unix
Education
Portland State University
Master's, CS
University of Madras
BS, CS
Padma Seshadri Bala Bhavan Senior Secondary School
Synopsys Inc. May 2004 - Present
Skills
Numerical Analysis, Optimization, Software Development, EDA, Statistics, Modeling, Optimizations, Computer Engineering, Semiconductor Industry, Cross-functional Team..., Algorithms, Simulation Software, Photolithography, Software Project..., R&D Management, Offshore R&D Management, Simulations, R&D
Education
Rice University
PhD, Applied Mathematics
Synopsys, Inc August 1995 - Present
Skills
EDA, C, C++, Simulation, Electrical Engineering, Software Development, Algorithms, Simulations, Software Engineering, ASIC, Unix, Debugging, VLSI, IC, Semiconductors, Circuit Design
Education
North Carolina State University 1985 — 1999
Synopsys November 2013 - Present
Synopsys Inc November 2008 - October 2013
Synopsys Inc November 2006 - October 2008
Synopsys Inc January 2002 - October 2006
IKOS 2000 - 2002
Cadence Design Systems 1998 - 2000
VLSI Technology Inc. 1995 - 1998
VLSI Technology Inc. 1992 - 1995
Skills
Semiconductors, EDA, IC, SoC, ASIC, Product Marketing, Solution Selling, Mixed Signal, Program Management, SaaS, Semiconductor Industry, Cross-functional Team..., Product Management, Analog, FPGA, Enterprise Software, Product Development, Start-ups
Education
Rochester Institute of Technology
Bachelor's Degree, Microelectronic Engineering
Synopsys Inc. 2001 - Present
Simplex Solutoins Inc. 2000 - 2001
Monterey Design Systems 1997 - 2000
Motorola 1993 - 1997
United Microelectronics Corporation (UMC) 1987 - 1989
Skills
EDA, VLSI, Low-power Design, IC, Integrated Circuit..., CMOS, Algorithms, Microprocessors, Floorplanning, System Architecture, Engineering Management, Testing, Compilers, ASIC, SoC, RTL Design, Physical Design, Verilog, C++
Education
Northwestern University 1989 — 1993
Ph.D., Electrical Engineering
National Taiwan University 1985 — 1987
MS, EECS
National Taiwan University 1981 — 1985
BS, EE
Chung Ling High School
Synopsys Inc July 2015 - Present
Elliptic Technologies September 2014 - July 2015
Texas Instruments Canada Limited July 2011 - September 2014
Texas Instruments June 2007 - July 2011
Ciena April 2006 - May 2007
Ciena Ltd., London April 2006 - May 2007
Gennum Corp December 2004 - April 2006
Gennum Corporation 2001 - 2006
Gennum Corp May 2001 - December 2004
Gennum Corporation May 1999 - September 2000
Skills
Debugging, Testing, Antennas, Software Documentation, Mixed Signal, Analog, Firmware, Embedded Systems, Engineering Management, Process Engineering, RF, C, Embedded Software, Manufacturing, Simulations, IC, Characterization, PCB design, Engineering, Hardware Architecture, Bluetooth, Analog Circuit Design, ZigBee, ARM, MSP430, Requirements Analysis, Semiconductors, Electronics, VHDL, Microcontrollers, Digital Signal..., FPGA, Hardware, SoC, ASIC, Training, Semiconductor Industry, PCB Design
Education
University of Waterloo 2001 — 2004
M.A.Sc., Electrical Engineering, High Frequency
McMaster University 1995 — 2001
B.Eng, Device Physics, Optics
October 2004
Masters of Applied Science; Completed Bachelor's of Engineering, Engineering Physics
Clark College September 2014 - Present
Intel Corporation November 2010 - March 2014
Synopsys Inc November 2004 - June 2010
Startup December 2003 - October 2004
Synopsys Inc February 2002 - November 2003
Avant! November 2000 - November 2001
EDAWorld March 2000 - October 2000
Intel August 1994 - February 2000
NitAl Consulting February 1994 - August 1994
Skills
EDA, Functional Verification, Formal Verification, ASIC, Semiconductors, RTL design, SystemVerilog, Verilog, Static Timing Analysis, Logic Design, Strategic Planning, Creative Problem Solving, Microsoft Excel, Perl, TCL, Microprocessors, Computer Architecture, SoC, Methodology, Project Management, RTL Design
Education
Portland State University
MS, Electrical and Computer Engineering
Govt. BDT College of Engg
B.E, Electronics and Communication
Synopsys Inc August 2015 - Present
Robert Half October 2013 - November 2014
Agilent Technologies March 2013 - September 2013
UC Berkeley April 2009 - February 2013
Coherent December 2005 - February 2009
Charles Schwab November 2003 - August 2005
Brio Software January 1998 - June 2003
Education
PSG College of Technology
Master of Science (M.Sc.), Applied Mathematics
VMware September 2007 - Present
Synopsys Inc October 2003 - April 2007
Ciena (ONI systems Inc) January 1999 - October 2003
Hewlett-Packard August 1995 - August 1999
Wipro August 1991 - April 1994
OMC computers April 1989 - August 1991
Skills
Virtualization, Enterprise Software, Agile Methodologies, Embedded Systems, Software Engineering, Linux, Software Development, SaaS, Software Project..., Cloud Computing, Distributed Systems, Software Configuration..., Integration, Program Management, Unix, Perforce, Perl, Release Management, C, C++, ClearCase, Test Automation, Operating Systems, VMware, Java, Product Management, Testing, Shell Scripting, Perl Automation, Agile & Waterfall...
Education
National Institute of Technology Tiruchirappalli 1985 — 1989
Bachelor of Engineering (B.E.), Computer Science Engineering
Cadence Design Systems April 2014 - April 2015
Cadence Design Systems January 2007 - April 2014
Synopsys Inc August 2006 - January 2007
Aprio Technologies August 2003 - June 2006
Synopsys Inc July 2001 - August 2003
Skills
R&D, Algorithms, Software Engineering, Perl, ASIC, C++, EDA, Linux, Software Development
Education
Stanford University 1999 — 2001
Master’s Degree
National Chiao Tung University 1993 — 1998
Bachelor’s Degree
National Chiao Tung University 1993 — 1998
Bachelor’s Degree
Synopsys Inc November 2014 - Present
Coverity October 2012 - November 2014
IBM Canada Ltd. May 2008 - August 2009
Skills
FPGA, Embedded Systems, Linux, C++, VHDL, C, Shell Scripting, RF circuits, Data Structures, Circuit Analysis, Breadboard, Binary Coded Decimal..., Function Generator, Oscilloscopes, Spectrum Analysis, C++ Language, C language, MIPS assembly language, TCP/IP, Debugging, Matlab, C#, Java, ARM
Education
McGill University
Bachelor of Engineering (BEng), Electrical
St. Francis Xavier University
Engineering - Dean's List
Morgan Stanley September 2015 - Present
Barclays Capital September 2008 - June 2013
Lehman Brothers February 2008 - September 2008
Synopsys Inc 2004 - 2006
CMC LTD January 2001 - June 2002
Skills
Investment Banking, SDLC, Derivatives, Trading Systems, Sybase, Java, Hibernate, Spring Framework, Java Enterprise Edition, Agile Methodologies, Oracle, SQL, Eclipse, PL/SQL, JMS, Market Data, Business Analysis, Fixed Income, Interest Rate Swaps
Education
Rensselaer Polytechnic Institute
Masters in Information Technology
Synopsys Inc June 2015 - Present
ValleyOne Investment, LLC June 2013 - July 2013
Huarong Securities July 2011 - August 2011
BNP Paribas Fortis January 2011 - April 2011
PICC January 2010 - February 2010
Skills
Financial Modeling, Finance, Financial Analysis
Education
Santa Clara University 2014 — 2016
Master's Degree, Computer Engineering, 4.00
Lehigh University 2012 — 2014
Master of Science (M.S.), Analytical Finance
Renmin University of China 2008 — 2012
Bachelor of Management, Financial Management
Chengdu Foreign Languages School 2002 — 2008
High School
Synopsys Inc October 2006 - Present
Primary Global Research, LLC May 2004 - June 2006
Aravali Technologies Inc August 2002 - March 2004
Skills
Enterprise Software, Business Process, Project Management, PMP, Visio, Process Improvement, Program Management, Communication Management, Managing IT PMO..., Project Completion &..., Sharepoint, Microsoft Office Suite, SAP CRM, Salesforce.com, Analysis, ERP, Management, Software Project..., Cross-functional Team..., Vendor Management
Education
Madurai Kamaraj University 1982 — 1985
Bachelor's degree, Accounting
Madurai Kamaraj University
Bachelor's degree, Accounting
University of Iowa - Henry B. Tippie College of Business 2015 - Present
Synopsys Inc June 2015 - July 2015
Synopsys Inc June 2013 - May 2015
Synopsys February 2012 - May 2013
Synopsys July 2011 - February 2012
Skills
CAE, Verilog, DFT Compiler, Static Timing Analysis, VLSI, Tetramax, Unix Shell Scripting, Perl, Embedded Systems, Shell Scripting, Scripting, Corporate Finance, Business Analysis, Strategy, Microsoft Office
Education
University of Iowa - Henry B. Tippie College of Business 2015 — 2017
Master of Business Administration (M.B.A.)
National Institute of Technology Calicut 2007 — 2011
Bachelor of Technology (B.Tech.), Electrical, Electronics and Communications Engineering
DAV Boys Sr Sec School , Gopalapuram , Chennai 2003 — 2007
High School
Synopsys Inc May 2015 - Present
North Carolina State University, 4.0 / 4.0 August 2014 - Present
Skills
C++, Digital Circuit Design, VLSI, Embedded Systems, Computer Architecture, Verilog, Cadence Virtuoso, Cadence Virtuoso Layout..., Synopsys tools, Integrated Circuit..., VLSI CAD, Digital Electronics, Computer Hardware, Team Leadership, Public Speaking, Microsoft Office, Microcontrollers, Windows, Microsoft Excel, Microsoft Word, Event Management, Teamwork, Technical Writing, Photoshop, Sponsorship Generation, Team Building, Marketing, Linux, C
Education
North Carolina State University 2014 — 2016
Master of Science (M.S.), Electrical and Computer Engineering, 4.0/4.0
Vivekanand Education Society's Institute of Technology (University of Mumbai) 2010 — 2014
Bachelor of Engineering (BE), Electronics, First Class
Swami Vivekanand Junior College 2008 — 2010
HSC (Maharashtra State Board)
Swami Vivekanand High School 2002 — 2008
SSC (Maharashtra State Board)
School of Mathematical and Statistical Science, ASU September 2015 - Present
Synopsys Inc October 2014 - July 2015
Skills
C++, C, FPGA, Digital VLSI, EDA, TCL, Verilog, VHDL, Perl
Education
Ira A. Fulton Schools of Engineering at Arizona State University 2015 — 2017
Master’s Degree, Electrical Engineering - Mixed Signal IC Design
Dr. Ambedkar Institute of Technology 2010 — 2014
Bachelor's Degree, Electronics and Communications Engineering
Comcast October 2014 - Present
Synopsys Inc July 2013 - September 2014
Rockwell Collins May 2011 - June 2013
Skills
C, C++, Linux, Core Java, DO-178B, Unix, Algorithms, XML, PL/SQL, Data Structures, Design Patterns, Mutlithreading, TCP/IP, Agile Methodologies, LDRA, Socket Programming, Python, Perl, HTML5, AJAX, Telecommunications, SDV, JIRA, Security Clearance, Coverity, Jenkins, Quickbuild, Metroworks, Perforce, Subversion, CVS, SDLC, Eclipse, Visual Studio, MVC Architecture, Doors, ClearCase, Windows, Set Top Box, Git
Education
National Institute of Technology Tiruchirappalli 2009 — 2011
Master of Technology (M.Tech.), Computer Science
Synopsys Inc June 2015 - Present
Synopsys Inc January 2013 - June 2015
Education
University of California, San Diego 2011 — 2012
Master of Science (MS), Electrical and Computer Engineering
National Chiao Tung University 2006 — 2010
Bachelor of Science (BS), Electronics Engineering
Intuitive Surgical November 2013 - Present
Varian Medical Systems February 2013 - October 2013
Intuitive Surgical September 2012 - January 2013
Synopsys Inc July 2012 - August 2012
KLA-Tencor May 2012 - June 2012
GE Momentive April 2009 - September 2010
Quintegra Solutions June 2006 - March 2009
Skills
SAP ABAP, SAP HANA, WebDynpro, User Exits, BAPI, Smartforms, SAP BADI, Interfaces, Data Conversion, Dialog Programs, SAP HR
Education
Jawaharlal Nehru Technological University
Bachelor's degree, Computer Science