Atmel Company

Industry: Semiconductor manufacturing company

Description

Atmel Corporation was a designer and manufacturer of semiconductors before being acquired by Microchip Technology in 2016. It was founded in 1984. The company focuses on embedded systems built around microcontrollers. Headquarters: San Jose, CA Revenue: 1.17 billion USD (2015) CEO: Steven Laub (Feb 10, 2006–) Number of employees: 4,700 Subsidiaries: QRG, Atmel SARL, Atmel B.V., Newport Media, Parent organization: Microchip Technology

Atmel List of Employees There's an exhaustive list of past and present employees! Get comprehensive information on the number of employees at Atmel. You can filter them based on skills, years of employment, job, education, department, and prior employment.

Atmel Salaries. You can even request information on how much does Atmel pay if you want to. Learn about salaries, pros and cons of working for Atmel directly from the past employees.

Find People by Employers You can rekindle an old relationship, reconnect with a long-lost friend, former boss, business acquaintance who might be useful in your new line of work. With our employee database, the possibilities are endless. All you have to do is type in a couple of keywords and we'll bring you the exact information you wanted!

354 Atmel employees in database. Find out everything there's to know about Atmel employees. We offer you a great deal of unbiased information from the internal database, personal records, and many other details that might be of interest to you.

Atmel Employees

Employee
Years
Job
Industry
Chad George Chad George Dallas/Fort Worth Area Details
Chad George's Atmel Experience December 2000 - September 2002
Job Installation Engineer at Fonality
Industry Information Technology and Services
Experience
Fonality  September 2015 - Present
Fonality  May 2015 - September 2015
Reflect Systems  April 2013 - April 2015
Advocare  April 2010 - April 2015
Digestive Health Associates of Texas  August 2012 - April 2013
Geek Squad  November 2009 - July 2012
Lowe's Home Improvement  April 2007 - October 2009
Best Buy  September 2002 - April 2007
Atmel   December 2000 - September 2002

Skills
Networking, Computer Hardware, Windows Server, Troubleshooting, Computer Repair, Management, Customer Service, Windows, Active Directory, Sales, Windows 7, Customer Satisfaction, Technical Support, System Administration, Consumer Electronics, PC building, Microsoft Office, Team Building, Coaching, Windows Vista, Inventory Management, Training, Team Leadership, Customer Support, Mac OS, Wireless Networking, Software Development, Technical Writing, Hiring, Disaster Recovery, Digital Media, Digital Marketing, Windows XP Pro, Microsoft SQL Server, Start-ups, Staff Development, Succession Planning, TCP/IP, Trade Shows, Remote Desktop, Network Architecture, SQL, Research, Digital Strategy, R&D, Embedded Systems, Employee Management, Remote Troubleshooting, Windows Remote Desktop, ITIL v3 Foundations...

Education
Western Governors University   2015 — 2017
Bachelor's degree, Information Technology

Texas State Technical College Waco   1998 — 2000
A.A.S., Computer Maintenence, 3.85

Jonathan Hong Jonathan Hong San Francisco Bay Area Details
Jonathan Hong's Atmel Experience January 1996 - January 2000
Job Sr. Manager Process Development and Manufacturing Engineering at BioTech Startup
Industry Electrical/Electronic Manufacturing
Experience
Biotech Startup   April 2014 - Present
Cepheid Corporation   June 2007 - April 2014
Avanex  January 2007 - June 2007
Nozomi Photonics   March 2006 - March 2007
JuiceZone Corporation   March 2004 - January 2006
Avanex  January 2000 - December 2005
Atmel   January 1996 - January 2000
Maxoptix Corporation   1994 - 1996
Aromat  1991 - 1994
Sound Design Unlimited   1987 - 1989

Skills
Manufacturing, Medical Devices, Manufacturing..., Automation, R&D, Design for Manufacturing, Engineering Management, Cross-functional Team..., ISO 13485, Semiconductors, Optics, FMEA, Product Development, Process Improvement, Fiber Optics, Design Control, Engineering, Six Sigma, Product Lifecycle..., Product Management, FDA GMP

Education
San Jose State University
BS, EE and IT with minor in Business Management & Marketing

James Khong James Khong San Francisco Bay Area Details
James Khong's Atmel Experience 1992 - 1997
Job Software Engineering, Dir at Lattice Semiconductor
Industry Semiconductors
Experience
Lattice Semiconductor  May 1997 - Present
Atmel   1992 - 1997
International CMOS Technology   1987 - 1992

Skills
FPGA, Software Development, Project Management, SDLC, Quality Assurance, ClearCase, SoC, Software Engineering, TCL, Software Design, Testing, Debugging, Product Development, Microprocessors, Embedded Systems, Verilog, Static Timing Analysis, ASIC, RTL design, ModelSim, Processors, IC, VHDL, Semiconductors, Management, Semiconductor Industry

Education
Santa Clara University   1982 — 1984
BSEE, Electrical and Computer Sciense

Rick Helvey Rick Helvey Colorado Springs, Colorado Area Details
Rick Helvey's Atmel Experience 2009 - November 2011
Job Senior Consultant at Information Services Group
Industry E-Learning
Experience
Information Services Group  October 2014 - Present
Direct Focus   April 2011 - Present
The Abreon Group  2012 - 2014
Atmel   2009 - November 2011
Resources Global Professionals  2006 - 2009
Bombardier Aerospace  November 1997 - July 2006
IMPACT Business Consultants, Inc.   March 1994 - July 1997

Skills
SAP, Forecasting, Visio, Financial Modeling, Budgets, Management, Project Planning, Finance, Business Planning, Training, Software Documentation, SAP Implementation, Process Improvement, Change Management, ERP, Business Process..., Hyperion Enterprise, Business Intelligence, Strategic Planning, SAP R/3, Leadership, Financial Analysis, Six Sigma, Employee Training, Business Analysis, Cross-functional Team..., Business Valuation, Team Building, Business Process, Management Consulting, Strategy, CRM, Program Management

Education
Thunderbird School of Global Management   1992 — 1992
MBA

Sonoma State University   1989 — 1991
Bachelors, Management

Mozhdeh Rastegar-Panah, MBA Mozhdeh Rastegar-Panah, MBA San Francisco Bay Area Details
Mozhdeh Rastegar-Panah, MBA's Atmel Experience June 1998 - September 1998
Job Manager, Customer Success at Zendesk
Industry Computer Networking
Experience
Zendesk  September 2015 - Present
FitBliss   January 2015 - Present
Zendesk  August 2013 - September 2015
Brocade  June 2010 - August 2013
McAfee  June 2006 - June 2010
Sun Microsystems  July 2002 - June 2006
Intel Corporation  1999 - 2000
Atmel   June 1998 - September 1998

Skills
Driven Leader, Cross-functional Team..., Program Management, Product Lifecycle..., Go-to-market Strategy, Enterprise Software, Product Requirements, Data Center, Sales Enablement, Storage, Salesforce.com, Project Management, Product Data Management, BMI, Leadership, Data Driven Testing, Professional Services, Business Process, Strategic Partnerships, Channel Partners, Vendor Management, PMP, Testing, Competitive Analysis, Strategy, Enterprise Storage, Management, Demand Generation, Integration, Security, Market Research, Virtualization, Storage Area Networks, Sales Operations, Product Development, Pre-sales, SaaS, Wireless, Product Launch, PMO, Ethernet, PDM, Storage Virtualization, Software Project..., Business Alliances, Mobile Devices, Product Marketing, Manufacturing, Product Management, Awesomeness

Education
Santa Clara University - Leavey School of Business   2011 — 2013
Masters of Business Administration, 3.9

Santa Clara University   2000 — 2004
BS, Computer Engineering

Allen Carkner Allen Carkner San Francisco Bay Area Details
Allen Carkner's Atmel Experience January 2013 - Present
Job Sr. Director Finance at Atmel
Industry Semiconductors
Experience
Atmel   January 2013 - Present
National Semiconductor  2005 - 2011
National Semiconductor  2001 - 2005
National Semiconductor  1996 - 2001

Skills
Restructuring, Financial Analysis, Strategic Financial..., Change Management, Cost Accounting, Cost Management, Team Leadership, Acquisition Integration, Manufacturing, US GAAP, Business Partner Support, Project Management

Education
The University of British Columbia   1980 — 1982
MBA, Finance/Accounting

University of Guelph
Hon.B.Sc., Ag.Economics

Jeff Gao Jeff Gao San Francisco Bay Area Details
Jeff Gao's Atmel Experience 2002 - 2006
Job Experienced Bilingual Marketing Director
Industry Semiconductors
Experience
SiTime  October 2008 - Present
Atmel Corp.  September 2002 - October 2008
Atmel   2002 - 2006
Cisco Systems  1999 - 2002
ArrayComm  1996 - 1999
CSTI  1995 - 1996
Allen Organ Company  1994 - 1995

Skills
Start-ups, Guerrilla Marketing, Business Strategy, Product Management, Account Management, Social Media Marketing, Technology evangelism

Education
University of California, Berkeley - Walter A. Haas School of Business   1999 — 2002
MBA

University of Wisconsin-Madison   1992 — 1994
MS

Moravian College   1988 — 1992
BS

Jason Michaud Jason Michaud San Francisco Bay Area Details
Jason Michaud's Atmel Experience June 1999 - February 2003
Job CoFounder at FitRocket
Industry Computer Software
Experience
FitRocket   November 2012 - Present
EggCartel   March 2011 - November 2012
Flixster  September 2008 - March 2011
Bodhi Studios LLC   October 2006 - September 2008
QuickLogic  February 2003 - October 2006
Atmel   June 1999 - February 2003

Skills
FPGA, Debugging, Device Drivers, Android

Education
University of California, Berkeley   1995 — 1999
BS in EECS, Electronic Engineering Computer Science

Iakovos Stamoulis Iakovos Stamoulis San Francisco Bay Area Details
Iakovos Stamoulis's Atmel Experience May 2003 - April 2007
Job Chief Technology Officer (CTO) @ Think Silicon Ltd
Industry Semiconductors
Experience
Think Silicon   May 2007 - Present
HSIA - Hellenic Semiconductor Industry Association   February 2009 - March 2011
Atmel   May 2003 - April 2007
Atmel   December 2001 - May 2003
Advanced Rendering Technology Ltd   January 1999 - December 2001
University of Sussex  September 1997 - December 1999

Skills
ASIC, SoC, VLSI, Verilog, VHDL, Semiconductors, Embedded Systems, FPGA, IC, ARM, GPU, Integrated Circuit..., Computer Architecture, Computer Graphics, C, OpenGL ES, Digital Signal..., 3D graphics, OpenVG, GLSL, GPGPU, Compiler Construction, EDA, Processors, OpenCL, LLVM, SIMD, Technical Product..., Lean Startup, Technical Staff..., Hardware Architecture, Shaders, SystemC

Education
University of Sussex   1996 — 2000
Ph.D, ASIC Design, Computer Graphics, VLSI

University of Brighton   1995 — 1996
M.Sc, Digital Electronics and Parallel Processing Systems

University of Sussex   1995 — 1996
M.Sc, Digital Electronics and Parallel Processing Systems

The University of Glasgow   1991 — 1995
B.Eng, Microcomputer Engineering

University of Westminster   1991 — 1992
MEF, 1991

Keith Nootbaar Keith Nootbaar Cleveland/Akron, Ohio Area Details
Keith Nootbaar's Atmel Experience 1990 - 2000
Job CHIEF EXECUTIVE OFFICER ▪ GLOBAL OPERATIONS EXECUTIVE ▪ GLOBAL BUSINESS DEVELOPMENT
Industry Executive Office
Experience
CSA Group  February 2015 - Present
Minco Technology Labs  2011 - 2015
Radix Wire  2013 - 2014
Johnstech International  2011 - 2013
Honeywell  2006 - 2011
SemiSouth Labratories   2005 - 2006
ON Semiconductor  2003 - 2005
Rohm Electronics  2000 - 2003
Atmel   1990 - 2000

Skills
Semiconductors, IC, Electronics, Analog, Mixed Signal, Cross-functional Team..., ASIC, Engineering Management, Product Development, Electrical Engineering, Program Management, SoC, Manufacturing, Six Sigma, Product Marketing, Account Management, Continuous Improvement, Outsourcing, Start-ups, Wireless, Semiconductor Industry, RF, R&D, Testing, Product Management, Leadership, Strategy, Lean Manufacturing, Engineering, Failure Analysis, P&L Management, SPC, Management, Embedded Systems, Product Engineering, PCB design, Executive Management, Sensors, Design of Experiments, CMOS, Analog Circuit Design, FPGA, Microprocessors, EDA, Business Strategy, Digital Signal..., Optics, Silicon, Simulations, Systems Engineering

Education
The University of Chicago Booth School of Business   2002 — 2002
Strategic Marketing Management

University of Minnesota-Twin Cities   1984 — 1986
Electrical Engineering

Oklahoma State University   1977 — 1982
BSEE

David King - PE David King - PE Raleigh-Durham, North Carolina Area Details
David King - PE's Atmel Experience 2000 - 2003
Job Project Manager at G2 Technologies Inc
Industry Electrical/Electronic Manufacturing
Experience
G2 Technologies Inc   August 2012 - Present
Independent  October 2010 - August 2012
Static Control Components  2009 - 2010
Qimonda  2006 - 2009
Amkor  2003 - 2006
Atmel   2000 - 2003
PG Design   1999 - 2000
Mitsubishi Semiconductor  March 1985 - August 1999

Skills
Visual C++, MS Project, MS Word, MS Excel, PowerPoint, ANDO ATE, Eagle ATE, Logic Analyzer, Spectrum Analyzer, Analog, Manufacturing, Product Design, ASIC, Mixed Signal, Failure Analysis, Product Engineering, Testing, Characterization, Continuous Improvement, Engineering Management, Project Management, Semiconductor Design, Semiconductor..., Organizational..., Coaching, Conflict Resolution, Mentoring, Launching Of New..., Multicultural Team..., Technical Communication, Technical Management, PE, Product Development, Product Management, Production, Semiconductor Testing, SPC, High Volume Production, Product Life Cycle..., Power Distribution, Power Generation, AC Drives, DC Drives, Semiconductors, MS Project Server, MS Project Web App WPA, Reliability, Hardware Architecture, Test Engineering, Yield

Education
North Carolina State University
BSEE, Electrical Engineering

Randy Melton Randy Melton Colorado Springs, Colorado Area Details
Randy Melton's Atmel Experience Design EngineerUnited States Department of DefenseMay 1986 - November 1993
Job Senior Principal Design Engineer at Atmel
Industry Electrical/Electronic Manufacturing
Experience
Atmel   Design EngineerUnited States Department of DefenseMay 1986 - November 1993

Skills
Verilog, IC, TCL, Perl, Microprocessors, Physical Design, Embedded Systems, ASIC, Semiconductors, Testing, Mixed Signal, SoC, Integrated Circuit..., Debugging, C, EDA, Analog, RTL design, FPGA, Cryptography, Public Key Cryptography, Microcode, Security

Education
Mississippi State University   1982 — 1986
BSEE, Electrical Engineering

Eric Gnoske Eric Gnoske Colorado Springs, Colorado Details
Eric Gnoske's Atmel Experience January 2000 - September 2003
Job VP Engineering at Helium
Industry Wireless
Experience
Helium Systems Inc   January 2014 - Present
Colorado Micro Devices   August 2013 - June 2014
LSI Corporation  January 2012 - August 2013
Atmel Corporation  October 2003 - January 2012
Atmel   January 2000 - September 2003

Skills
ZigBee, Firmware, Embedded Systems, Microcontrollers, 802.15.4, ARM, Hardware Architecture

Education
University of Colorado Colorado Springs   1999 — 2003
MS, Electrical Engineering

University of Colorado Colorado Springs   1995 — 1999
BS, Electrical Engineering

Southern Illinois University, Carbondale   1986 — 1987
BS, Industrial Technology

Contra Costa Community College   1984 — 1986
AS, Non-Destructive Testing

Ralph Geiger Ralph Geiger Raleigh-Durham, North Carolina Area Details
Ralph Geiger's Atmel Experience 2002 - 2006
Job Computer Hardware Professional
Industry Computer Hardware
Experience
Self-Employed  2010 - Present
AHN Media Corp   2009 - Present
Sonavation Inc.  March 2008 - October 2008
Atmel   2002 - 2006
IBM  1994 - 2005

Education
New York University - Polytechnic School of Engineering

David McCaman David McCaman San Francisco Bay Area Details
David McCaman's Atmel Experience May 2003 - June 2008
Job
Industry Electrical/Electronic Manufacturing
Experience
Codexis  May 2013 - Present
Trend trading, options, IBD methods   March 2012 - Present
Good Technology  August 2012 - April 2013
Atmel Corporation  July 2008 - June 2012
Atmel   May 2003 - June 2008
Corona Networks  November 2001 - April 2003
EFI  September 1999 - November 2000
KLA-Tencor  December 1985 - September 1999
BDO USA, LLP  November 1983 - December 1985
Brandon & Tibbs CPA's   September 1981 - November 1983

Skills
Managerial Finance, Consolidation, US GAAP, Sarbanes-Oxley Act, International Finance, Shared Service Center, Start-ups, Revenue Recognition, Financial Reporting, Turnaround Experience, Mergers, SEC filings, Analysis, Mergers & Acquisitions, Internal Audit, GAAP, Financial Audits, IPO, Acquisition Integration, Treasury, Accounting, Options Trading, Technical Analysis, Trading Systems, SEC Filings

Education
San Jose State University   1976 — 1980
BS

Kenn Pilczak Kenn Pilczak United States Details
Kenn Pilczak's Atmel Experience 1990 - 2007
Job Sr. Director of Sales at INEDA SYSTEMS
Industry Semiconductors
Experience
INEDA SYSTEMS   September 2015 - Present
Spansion  July 2014 - Present
Renesas  2007 - 2014
Atmel   1990 - 2007

Skills
Semiconductors, Semiconductor Industry, IC, Analog, ASIC, SoC, Mixed Signal, Microcontrollers, Electronics, Sales Management, ARM, Product Marketing, EDA, Product Management, Technical Marketing, CRM, FPGA, RF, Consumer Electronics, Processors, Embedded Systems, Product Development, Cross-functional Team..., Power Management, Digital Signal..., Wireless, Firmware, PCB design, Microprocessors, Sales

Education
Northeastern University
BSEE, MSEM

Windsor HS

Aristomenis Capogeannis Aristomenis Capogeannis San Francisco Bay Area Details
Aristomenis Capogeannis's Atmel Experience January 1996 - March 1999
Job Webmaster at Intacct Corporation
Industry Marketing and Advertising
Experience
Intacct Corporation  November 2014 - Present
Kerio Technologies  May 2012 - November 2014
Aristomenis Capogeannis   January 1996 - October 2014
Omniyig, Inc.   February 2002 - September 2012
GamesThatGive, Inc.   November 2008 - August 2010
Computer Curriculum Corporation  March 2001 - January 2002
Fairchild Semiconductor  March 1999 - March 2001
Atmel   January 1996 - March 1999

Skills
Marketing, Product Management, Management, Advertising, SEO, Social Media, Brand Development, Branding & Identity, Email Marketing, Google Analytics, Marketo, Custom CMS Development, CRM, User Experience, User Interface Design, Web Design, Website Development, Graphic Design, Drupal, Liquid, PHP, SQL, jQuery, HTML, Advanced CSS, Github, Graphics, Adobe Creative Suite, Flash, Flash Animation, ActionScript, CSS, Web Development

Education
Santa Clara University - Leavey School of Business   1996 — 2000
MBA, Marketing, Market Research, Information Systems Design & Analysis, Entrepreneurship

Jackson Cheng Jackson Cheng Baltimore, Maryland Area Details
Jackson Cheng's Atmel Experience January 2000 - September 2000
Job Program Manager, Advanced Concepts & Technologies at Northrop Grumman Corporation
Industry Defense & Space
Experience
Northrop Grumman Corporation  March 2014 - Present
Northrop Grumman Corporation  February 2010 - March 2014
Northrop Grumman  June 2008 - June 2010
Northrop Grumman  July 2001 - January 2008
Atmel   January 2000 - September 2000

Skills
Systems Engineering, Electrical Engineering, Program Management, FPGA, System Architecture, Simulations, Embedded Systems, Earned Value Management, Signal Processing, Security Clearance, Integration, PCB design, Information Assurance, Engineering Management, Hardware Architecture, Software Engineering, Testing, System Design, Pspice, Requirements Analysis, Engineering, Semiconductors, Mixed Signal, Algorithms, Matlab, Defense, Analog, ClearCase, Digital Signal..., ASIC, VHDL, Firmware, Debugging, Troubleshooting, DoD, IC

Education
The Johns Hopkins University   2003 — 2006
M.S., Electrical & Computer Engineering

University of Maryland College Park   1996 — 2001
B.S., Electrical Engineering

Suzanne Schmidt Suzanne Schmidt San Francisco Bay Area Details
Suzanne Schmidt's Atmel Experience 1997 - 1999
Job Managing Director at The Blueshirt Group
Industry Public Relations and Communications
Experience
The Blueshirt Group  2005 - Present
Investor Relations   1999 - 2005
Atmel   1997 - 1999
Morgen Walke   1994 - 1997

Skills
Investor Relations, IPO, Capital Markets, Mergers, Equity Research, Mergers & Acquisitions, Equities, Corporate Finance, Valuation, Financial Modeling, Equity Valuation, Investment Banking, Venture Capital, Bloomberg, Corporate Development, M&A experience

Education
University of California, Berkeley
B.S.

University of California, Berkeley - Walter A. Haas School of Business
MBA

Dan Manea Dan Manea San Francisco Bay Area Details
Dan Manea's Atmel Experience February 1998 - Present
Job Director of Design Engineering at Atmel
Industry Semiconductors
Experience
Atmel   February 1998 - Present
Catalyst Semiconductor  November 1994 - February 1998
Politechnica University of Bucharest   1990 - 1994

Skills
CMOS, Semiconductors

Education
   1984 — 1989
MSEE, Microelectronics

Shuang Pan Shuang Pan Austin, Texas Details
Shuang Pan's Atmel Experience June 1998 - June 1999
Job Q&R Engineer at Intel
Industry Semiconductors
Experience
Intel Corporation  May 2015 - Present
Silicon Labs  April 2011 - October 2014
Silicon Labs  February 2007 - September 2011
Silicon Labs  October 2004 - February 2007
Silicon Labs  July 1999 - September 2004
Atmel   June 1998 - June 1999
Cirrus Logic  June 1995 - May 1998

Skills
IC, Mixed Signal, Analog, Integrated Circuit..., CMOS, Debugging, VLSI, ASIC, Semiconductors, SoC, Power Management, Analog Circuit Design, Verilog, Cadence Virtuoso, PLL, RTL design, Circuit Design, EDA

Education
The University of Texas at Austin   2000 — 2004
MSEE, Electrical Engineering

The University of Texas at Austin   1991 — 1995
BSEE, Electrical Engineering

Lance Lovell Lance Lovell Austin, Texas Area Details
Lance Lovell's Atmel Experience January 1997 - March 2011
Job Quality Management Specialist at Steritech
Industry Restaurants
Experience
Steritech  February 2014 - Present
Brinker International -Chili's  April 2010 - September 2014
Atmel   January 1997 - March 2011
Atmel   November 2003 - April 2008
The Olive Garden  September 1987 - January 1997

Skills
Continuous Improvement, SPC, Six Sigma, Program Management, Team Building, Cross-functional Team..., Start-ups, Training, Manufacturing, Semiconductors, Recruiting, Semiconductor Industry, Project Planning, Change Management, Coaching, Management, Leadership, Supply Chain Management, 5S, Process Engineering, Strategic Planning

Education
The University of Texas at Austin - The Red McCombs School of Business
BBA, Marketing

Rich Hauser Rich Hauser San Francisco Bay Area Details
Rich Hauser's Atmel Experience 1995 - 1998
Job Proven leader with documented success developing engineering teams to solve customer challenges & product introductions
Industry Computer Hardware
Experience
Hauser Technology Consulting   2001 - Present
Chip Test Solutions   2015 - Present
Evans Analytical Group  2012 - 2015
Performance Board Technology   2002 - 2012
Alpine Microsystems   2001 - 2002
Lightspeed Semiconductor  1998 - 2001
Atmel   1995 - 1998
DynaChip   1994 - 1995
Philips Semiconductors  1991 - 1994

Skills
Engineering, Business Development, Product Marketing, Leadership, Manufacturing, Project Management, Management, FPGA, PCB Design, Product Development, Product Management, Networking, Start-ups, Engineering Management, Entrepreneurship, Automotive, Strategic Planning, Cross-functional Team..., Youth Development, Youth Programs, Youth Leadership, Semiconductor Industry, IC, Mixed-Signal IC Design, Digital Electronics, Problem Solving, Hardware Applications, Coaching

Education
University of California, Berkeley
BSEE / BSMSE, Electrical Eng & Material Science

Lowell High School, San Francisco

Lynne Telford Lynne Telford Colorado Springs, Colorado Area Details
Lynne Telford's Atmel Experience 1995 - 2000
Job Board Member at Colorado Springs Regional Business Alliance
Industry Nonprofit Organization Management
Experience
Care and Share Food Bank for Southern Colorado  March 2011 - Present
Pikes Peak United Way  October 2000 - March 2011
Center for Nonprofit Excellence, Colorado   July 2005 - June 2009
Atmel   1995 - 2000

Skills
Non-profits, Program Management, Leadership, Community Development, Fundraising, Budgets, Government, Contract Negotiation, Community Outreach, Healthcare, Grant Writing, Research, Proposal Writing, Microsoft Office, Press Releases, Leadership Development, Management, Organizational..., Social Networking, Blogging, Team Leadership, Newsletters, Project Management, Marketing Communications, Project Planning, Social Media Marketing, Speech Writing, Strategic Communications

Education
Santa Clara University   1983 — 1985
MBA

San Jose State University   1972 — 1977
BS, Business Administration, Accounting

Cora Wilcox Cora Wilcox Colorado Springs, Colorado Area Details
Cora Wilcox's Atmel Experience March 1995 - December 1997
Job Fab Supervisor at DPIX
Industry Electrical/Electronic Manufacturing
Experience
DPIX  March 2007 - Present
Intel  April 2004 - March 2007
Vitesse Semiconductor  1997 - 2005
Vitesse  December 1997 - March 2004
Atmel   March 1995 - December 1997
Sealy  1990 - 1995

Skills
Characterization, SPC, Manufacturing, Engineering, Software Documentation, Operations Management, Semiconductors, JMP, Design of Experiments, Electronics, Failure Analysis, Semiconductor Industry, Cross-functional Team..., Engineering Management, Process Improvement, Six Sigma, Lean Manufacturing, Metrology, IC, Continuous Improvement, Thin Films, Process Engineering

Education
Falcon High School   1977 — 1980

Pikes Peak Community College   1976 — 1980

Tim Kubitschek Tim Kubitschek Colorado Springs, Colorado Area Details
Tim Kubitschek's Atmel Experience January 1999 - October 2010
Job Technical Marketing Professional for the ASIC and standard product Semiconductor Industry
Industry Semiconductors
Experience
Atmel   January 1999 - October 2010
Symbios Logic  August 1994 - November 1998
Symbios Logic  1992 - July 1994
Symbios Logic/NCR Microelectronics   June 1990 - 1992
Advanced Micro Devices  March 1983 - June 1990

Education
St. Mary's University   1985 — 1988
MBA

University of Colorado Boulder   1978 — 1982
BSEE, Electrical Engineering

Daniel Severin Daniel Severin San Francisco Bay Area Details
Daniel Severin's Atmel Experience May 2007 - December 2011
Job HR Analyst at Avago Technologies
Industry Human Resources
Experience
Avago Technologies  January 2014 - Present
San Jose Public Library  November 2012 - Present
Atmel   May 2007 - December 2011
San Jose State University  August 2005 - May 2006
San Jose State University  August 2000 - May 2006

Skills
Human Resources, Applicant Tracking..., Employee Benefits, Hiring, HRIS, Employee Relations, Interviews, Management, Microsoft Excel, Microsoft Office, Recruiting, Sourcing, Talent Acquisition, Semiconductors, Onboarding, Time Management, Training, Teamwork, Temporary Placement

Education
San Jose State University   2002 — 2006
MA, English and Comparative Literature

Damian Guerin, CCP, SCP, SPHR Damian Guerin, CCP, SCP, SPHR Greater Denver Area Details
Damian Guerin, CCP, SCP, SPHR's Atmel Experience May 2008 - April 2009
Job HR Leader, facilitates the efficiency, effectiveness, capability, capacity of people to meet and exceed business goals.
Industry Human Resources
Experience
Aleut Management Services  October 2011 - Present
Colorado SHRM State Council   April 2010 - September 2011
HR-Legacy Consultants, Inc.   June 2002 - September 2011
P2 Energy Solutions  November 2009 - August 2011
WellPoint Systems Inc.  November 2009 - April 2011
Atmel   May 2008 - April 2009
Raytheon  June 2005 - May 2008
MWH  April 2003 - June 2005
Rocky Mountain Compensation Association (RMCA)   1997 - 2005
Halliburton  April 1996 - June 2002

Skills
Talent Management, Workforce Planning, Employee Engagement, Change Management, Supervisory Skills, Process Improvement, Policy, Employee Relations, Job Analysis, Organizational..., Management, HR Consulting, Cross-functional Team..., Human Capital, Performance Management, Culture Change, Onboarding, Job Evaluation, Performance Improvement, Executive Coaching, Employee Benefits, Career Development, College Recruiting, Deferred Compensation, Employer Branding, Labor Relations, Leadership, HR Policies, Job Descriptions, Leadership Development, Coaching, Human Resources, Program Management, Temporary Placement, Strategy, Recruiting, Compensation & Benefit, HRIS, Strategic HR, Talent Acquisition, Applicant Tracking..., Succession Planning, Interviews, Salary, Benefits Administration, Mergers, Organizational..., Employment Law, Organizational Design, Personnel Management

Education
The University of New Mexico   1984 — 1987
MA, Public Personnel Administration

The University of New Mexico   1978 — 1983
BA, Sociology

Ron Duda Ron Duda Las Vegas, Nevada Area Details
Ron Duda's Atmel Experience October 2001 - November 2008
Job Contract Sales Engineer/Distribution Sales Manager
Industry Electrical/Electronic Manufacturing
Experience
Harper and Two  March 2009 - Present
WestTech Sales, LLC   January 2009 - Present
Compass Sales and Marketing   2009 - 2010
Machining Company - Details avail. on request   December 2005 - November 2008
Atmel   October 2001 - November 2008
Xicor  January 2000 - October 2001
Arrow Zeus   1996 - 2001
Allied Signal Aerospace  1983 - 1996

Skills
Contract Negotiation, Electronics, Semiconductors, Account Management, Distribution Strategies, Sales, Product Marketing, Key Account Management, Semiconductor Industry, Analog, IC, Manufacturing, Sales Management, Product Management, Sales Operations, New Business Development, Product Development, Consumer Electronics, Wireless, CRM

Education
Stevens Institute of Technology   1994 — 1996
MSMoT, Technology Management

New Jersey Institute of Technology   1978 — 1983
BSEE, Electrical Engineering

Bob McConnell Bob McConnell Greater Seattle Area Details
Bob McConnell's Atmel Experience January 2003 - May 2009
Job Retired
Industry Semiconductors
Experience
Retired  May 2009 - Present
Advanced Micro Devices  January 1972 - June 2009
Atmel   January 2003 - May 2009
Atmel Germany GmbH   2003 - May 2009
Cypress Semiconductor  1999 - May 2009
Axsys Technologies  2000 - 2003
Cypress MicroSystems   1999 - 2002
Advanced Micro Devices  1995 - 1999
AMD  1989 - 1995
Interactic Holdings   January 1972 - January 1972

Skills
Strategic Planning, Software, IC, ASIC, Semiconductors, Microcontrollers, Start-ups, Semiconductor Industry, Hardware, Microprocessors, EDA, Processors, Mixed Signal, Embedded Systems, RF, Analog, Electronics, Hardware Architecture, Strategy, Debugging, FPGA, Product Engineering, Product Marketing, Wireless, Cross-functional Team..., Engineering Management, Integrated Circuit..., Sensors, Technical Marketing, Digital Signal..., Firmware, SoC

Education
Northwestern University   1962 — 1967
BSEE, Electrical Engineering

Oliver Kao Oliver Kao San Francisco Bay Area Details
Oliver Kao's Atmel Experience 2001 - 2008
Job Design Engineer, St. Jude Medical
Industry Electrical/Electronic Manufacturing
Experience
St. Jude Medical  2012 - Present
Texas Instruments  2011 - 2012
Design Contractor   2009 - 2010
Atmel   2001 - 2008
ISD/Winbond   1998 - 2001
Helios semiconductor   1996 - 1998
United Microelectronics Corp   1990 - 1996
Winbond Electronics Corp   1988 - 1990

Skills
Verilog, Semiconductors, RTL design, Microprocessors, IC, ATPG(TetraMax,...

Education
University of Florida   1983 — 1986
MS in EE, Physics, Solid-State Microelectronics

National Cheng Kung University   1979 — 1983
BS, Physics

Steve Schreifels Steve Schreifels San Francisco Bay Area Details
Steve Schreifels's Atmel Experience February 2000 - August 2001
Job President at Nirvana Websites
Industry Internet
Experience
Nirvana Websites   September 2001 - September 2009
Atmel   February 2000 - August 2001
Synopsys  March 1996 - August 1999
Xilinx Inc  March 1988 - March 1996

Skills
Senior Applications..., Sales, LVS, DRC, HSPICE

Education
University of California, Berkeley   1983 — 1988
BSEE/BSMSE, Electrical Engineering and Materials Science

Scott Stanford Scott Stanford San Francisco Bay Area Details
Scott Stanford's Atmel Experience August 1994 - September 1996
Job Robotics Engineer and Mechanical Design Leader
Industry Mechanical or Industrial Engineering
Experience
Google  February 2014 - Present
Willow Garage  May 2008 - August 2013
SRI International  June 1998 - May 2008
Stanford University  1997 - 2001
Stanford University  March 1997 - June 1998
Atmel   August 1994 - September 1996

Skills
Robotics, Mechanical Product..., Mechanical Engineering, Reliability Engineering, Project Planning, Project Management, Test Planning, Competitive Analysis, Mechatronics, Rapid Prototyping, Proposal Writing, Solidworks, C, C++, Labview, Assembly Language, Machine Code, Music Theory, Saxophone, Piano, Event Planning, Party Plan

Education
Stanford University   1997 — 1998
MS, Mechanical Engineering

Stanford University   1988 — 1993
BS, Mechanical Engineering

Damon Shankling Damon Shankling Colorado Springs, Colorado Area Details
Damon Shankling's Atmel Experience January 1998 - March 1999
Job President, Damon Shankling Insurance Agency, Inc. Farmers Insurance
Industry Insurance
Experience
Damon Shankling Insurance Agency, Inc.   May 2008 - Present
Farmers Insurance Group of Companies  2008 - Present
United States Army  August 2002 - August 2008
Vitesse Semiconductor  March 1999 - April 2002
Atmel   January 1998 - March 1999

Skills
Risk Management, Financial Services, Commercial Insurance, Property & Casualty..., Customer Service, Qualified Retirement..., Traditional IRA, Roth IRA, Fixed Annuities, Variable Annuities, Indexed Annuities, Risk Assessment, Investments, Umbrella Insurance, Small Business, SEP IRA, Term Life Insurance, Insurance, General Insurance, Simple IRA, Sales, Team Leadership, Team Building, Leadership, Marketing, Sales Management, Business Process, Training

Education
Pikes Peak Community College
Associates, Electronics

University of Colorado Colorado Springs
Business Management

Dan Criswell Dan Criswell Dallas/Fort Worth Area Details
Dan Criswell's Atmel Experience March 2000 - August 2002
Job Certified Lean Six Sigma Black Belt |Process Engineer
Industry Mechanical or Industrial Engineering
Experience
DSCriswell & Associates   November 2012 - Present
JBT Corporation  May 2011 - October 2012
DFW International Airport  June 2009 - April 2011
Chromalloy  November 2005 - August 2008
Process Technology Resource   September 2002 - October 2005
Atmel   March 2000 - August 2002
Texas Instruments  April 1995 - October 1999

Skills
Six Sigma, Statistical Process..., Design of Experiment, SQL, Gage R & R, Cause & Effect Diagram, Fishbone, Pareto Charts, Histograms, Control Charts, Measurement System..., SIPOC, Value Stream Maps, SPC, Design of Experiments, Budgets, Leadership, Program Management, Process Improvement, Cross-functional Team..., Quality Assurance, Lean Manufacturing, Business Process..., Root Cause Analysis, DMAIC, FMEA, Minitab, Operations Management, Process Simulation, Change Management, Software Documentation, Analysis, Strategy, Quality Control, Business Process, Integration, Manufacturing, Training

Education
Texas A&M University
Bachelor of Science, Geological and Earth Sciences/Geosciences

Koji Gardiner Koji Gardiner San Francisco Bay Area Details
Koji Gardiner's Atmel Experience June 2007 - September 2007
Job Director of Hardware Engineering at Jaunt VR
Industry Consumer Electronics
Experience
Jaunt VR  February 2014 - Present
Apple  May 2013 - February 2014
Apple  January 2009 - February 2014
Apple  June 2008 - January 2009
Atmel   June 2007 - September 2007

Skills
Consumer Electronics, Product Management, Product Marketing, Semiconductors, Mobile Devices, Cross-functional Team..., Hardware, Product Launch, Strategic Partnerships, Competitive Analysis, Electronics, Wireless, Product Lifecycle..., iPhone, Camera, Product Development, Digital Image Processing, Embedded Systems, Hardware Architecture

Education
Stanford University   2008 — 2009
M.S., Electrical Engineering

Stanford University   2004 — 2008
B.S., Electrical Engineering

Andrea Cwik Andrea Cwik San Francisco Bay Area Details
Andrea Cwik's Atmel Experience 2009 - 2010
Job Employee Engagement and Communications
Industry Computer Software
Experience
Cisco  August 2015 - Present
Symantec  June 2010 - May 2015
Atmel   2009 - 2010
Franklin Templeton Investments  2007 - 2009
Wells Fargo  2007 - 2007
Provident Credit Union  2006 - 2007
Electronic Arts  February 2005 - October 2006
Cypress Semiconductor  January 2004 - February 2005

Skills
Email Marketing, Online Marketing, Marketing Communications, Marketing Strategy, Corporate Communications, Internal Communications, Social Media Marketing, Cross-functional Team..., Program Management, Market Research, Social Media, Executive Communications, Corporate Culture, Internal Branding, Email Management, Social Media Development, Survey Development, Writing, Copy Editing, Community Management, Organizational Culture, Strategy, Marketing, Leadership, Strategic Communications, Content Management, Digital Marketing, Training, Event Management, Newsletters, Consulting, Project Management, Salesforce.com, Strategic Partnerships, Strategic Planning, Sales, Content Strategy, Human Resources, Event Planning, Communication, Social Networking

Education
Notre Dame de Namur University
MBA

Santa Clara University
Bachelor of Science (BS), Business Management

Vincent Kan Vincent Kan San Francisco Bay Area Details
Vincent Kan's Atmel Experience January 2001 - August 2006
Job Staff Design Verification Engineer at Xilinx
Industry Semiconductors
Experience
Xilinx  August 2012 - Present
Altera  August 2006 - August 2012
Atmel   January 2001 - August 2006

Skills
Verilog, Perl, SystemVerilog, OVM, UVM, C, FogBugz, Perforce, ARM, SoC

Education
Santa Clara University   2003 — 2006
Master of Science, Electrical Engineering

The University of British Columbia   1996 — 2000
Bachelor of Applied Science, Computer Engineering

St. Paul's Co-educational College

Bic Nguyen Bic Nguyen San Francisco Bay Area Details
Bic Nguyen's Atmel Experience 2006 - 2007
Job Benefits Analyst at Sanmina Corporation
Industry Human Resources
Experience
Sanmina Corporation  January 2011 - Present
Premier Benefits Insurance Services   January 2008 - December 2010
Melita Group  2007 - 2008
Atmel   2006 - 2007
CWS  2005 - 2006
Kaiser Permanente & Walgreens   2001 - 2005

Skills
Benefits Administration, Employee Benefits, HRIS, Human Resources, Payroll, Employee Relations

Education
San Jose State University   2001 — 2004
Bachelors, Business - Human Resource Management

Ernesto Staroswiecki, Ph.D, P.E. Ernesto Staroswiecki, Ph.D, P.E. San Francisco Bay Area Details
Ernesto Staroswiecki, Ph.D, P.E.'s Atmel Experience 2000 - 2002
Job Engineering Consultant
Industry Computer Hardware
Experience
Exponent Failure Analysis Associates  March 2013 - Present
Exponent  January 2012 - March 2013
Intel Corporation  2004 - 2004
International Bussiness Machines (IBM)   2002 - 2003
IBM  2002 - 2003
Atmel   2000 - 2002

Skills
Matlab, Engineering, Electrical Engineering, Image Processing, Labview, Data Analysis, Simulations, Failure Analysis, Signal Processing, Software Development, Software Engineering, Project Management, R&D, Embedded Systems, Engineering Management, Electronics, Systems Engineering, Sensors, Semiconductors, Biomedical Engineering, Algorithms, C++, Physics, C, Testing, Research, Design of Experiments, LabVIEW

Education
Stanford University   2004 — 2011
PhD, Electrical Engineering

Stanford University   2002 — 2004
M.S., Electrical Engineering

University of Maryland Baltimore County   1998 — 2002
BS/BA, Computer Engineering/Math

Pablo A. Pizzurno   1980 — 1986
Educacion Primaria

Ada Liaw-Martinez Ada Liaw-Martinez Houston, Texas Area Details
Ada Liaw-Martinez's Atmel Experience March 2010 - April 2013
Job Sr Mgr, Demand Marketing Services at BMC Software
Industry Marketing and Advertising
Experience
BMC Software  January 2014 - Present
Infoblox  May 2013 - January 2014
Atmel   March 2010 - April 2013
Atmel Corp  November 2003 - March 2010
Harmonic, Inc  June 1996 - April 2003

Skills
B2B, Marketing Communications, Marketing, B2B Marketing, Competitive Analysis, Marketing Strategy, Marketing Management, Email Marketing, Lead Generation, Marketing Operations, Marketing Automation, Lead Management, online marketing, Web Analytics, lead scoring, eloqua, Sales & Marketing..., marketing technologies, SaaS integration, Online Advertising, Demand Generation, Online Marketing, Advertising, Digital Marketing, Eloqua, CRM, Integrated Marketing, Salesforce.com, Multi-channel Marketing, Strategy, Analytics, SEO, Corporate Communications, SEM, Trade Shows

Education
Pratt Institute
Illustration; Fine Art Dept

· UCSC Extension
Integrated Marketing

· UCSC Extension
Graphics Design & Production

· University of Pheonix
BA, Marketing

Brandon Knue Brandon Knue Dallas/Fort Worth Area Details
Brandon Knue's Atmel Experience May 2001 - August 2002
Job Sr. Field Service Engineer
Industry Medical Devices
Experience
Restoration Robotics  June 2012 - Present
Haemonetics  September 2009 - June 2012
2004 - 2009  May 2004 - April 2009
Texas Instruments  March 2004 - January 2009
Atmel   May 2001 - August 2002
2004: Attended college   January 2001 - January 2002

Skills
Troubleshooting, Manufacturing, SPC

Education
Texas State Technical College
A.A.S. in Semiconductor Manufacturing Technology, Have taken classes in Medical Imaging

Texas State Technical College
Associate of Applied Science; Associate of Applied Science, Medical Imaging Systems Technology; Semiconductor Manufacturing Technology

David Kesselring David Kesselring Holly Springs, North Carolina Details
David Kesselring's Atmel Experience 2000 - 2005
Job Manager Software Development at Oracle
Industry Computer Networking
Experience
Oracle  November 2014 - Present
Oracle  March 2014 - November 2014
Itron  September 2010 - January 2014
Neusoft America  February 2010 - September 2010
TapRoot Systems, Inc.   August 2005 - February 2010
Microsoft for TapRoot Systems   January 2009 - September 2009
Atmel   2000 - 2005
First Virtual Communications (FVC.com)   1997 - 2000
International Business Machines  1989 - 1997

Skills
RTOS, TCP/IP, GSM, Windows Mobile, USB, Linux, Embedded Systems, Embedded Software, ClearCase, CDMA, Device Drivers, C++, Bootloader, U-Boot, IEEE 802.11

Education
Pace University   1993 — 1994
M.S., Telecommunications

University of Florida   1985 — 1989
B. S., Electrical Engineering

Steve Conrad Steve Conrad Portland, Oregon Area Details
Steve Conrad's Atmel Experience April 2008 - Present
Job Sr. SAP Masterdata Analyst at Atmel
Industry Semiconductors
Experience
Atmel   April 2008 - Present
FLIR Systems Inc  September 2007 - April 2008
LSI Logic  1994 - September 2007

Skills
SAP R/3, SAP, SD, ERP, SAP ERP, Business Intelligence, Supply Chain Management, Supply Chain, SharePoint, Product Lifecycle..., Testing, Business Analysis, Process Improvement, EDI, Business Objects, Materials Management, Integration, Project Management, SAP APO, SAP Configuration, SAP QA, Excel macros, Spreadsheets, Hyperion Reports, SAP FICO, SAP Batch management, Microsoft Excel, Manufacturing

Education
San Jose State University   1992 — 1994
B.S., Environmental Studies(Chemistry)

Wenming (Bill) Li Wenming (Bill) Li Dallas/Fort Worth Area Details
Wenming (Bill) Li's Atmel Experience 2001 - 2002
Job
Industry Information Technology and Services
Experience
Latitude Technologies   August 2013 - Present
Tarrant Appraisal District  May 2004 - August 2013
ATMEL   2001 - 2002
Chengdu Institute of Computer Application, CAS   1990 - 1999

Skills
Programming, Java, Algorithms, Software Development, Embedded Systems, C#, Object Oriented Design

Education
University of North Texas   2002 — 2006
Doctor of Philosophy (PhD)

University of North Texas   1999 — 2001
Master of Science (M.S.)

Institute of Computing Technology, Chinese Academy of Sciences   1987 — 1990
Master of Engineering (M.Eng.)

Sichuan University   1981 — 1985
Bachelor of Science (B.S.)

Mayank Garg CISA, CISM Mayank Garg CISA, CISM San Francisco Bay Area Details
Mayank Garg CISA, CISM's Atmel Experience June 2008 - April 2010
Job Manager, Technology, Risk & Compliance at Equinix
Industry Information Technology and Services
Experience
Equinix  May 2014 - Present
Sox working group   2008 - Present
NetApp  May 2010 - May 2014
Atmel   June 2008 - April 2010
Burr Pilger and Mayer LLP   July 2005 - June 2008
NeoMagic  2002 - 2005
Zeevo  2001 - 2001
NeoMagic  1998 - 2001

Skills
IT Audit, ERP, Databases, Network Security, SAP, COBIT, Unix, COSO, Project Management, Sarbanes-Oxley Act, Business Process, Analysis, Internal Audit, Computer Security, Auditing, Governance, Oracle, Change Management, Microsoft SQL Server, IT Management, CISA, Information Technology, Internal Controls, Sarbanes-Oxley, SAS70, HFM, Vendor Management, Cloud Security, Oracle R12 Financials, Risk Assessment, IT Risk Management, Segregation of Duties, Program Management, SDLC, SQL Server 2000-2008, Software Documentation, Security, CRM, Security Audits, Disaster Recovery, SOX Compliancy

Education
University of San Francisco   2001 — 2003
Master

SJSU   1997 — 2001
Bachelor

Darwin Enicks, PhD Darwin Enicks, PhD Corning, New York Details
Darwin Enicks, PhD's Atmel Experience May 2006 - October 2010
Job Technology Lead at Corning Incorporated
Industry Semiconductors
Experience
Corning Incorporated  January 2015 - Present
Corning Incorporated  October 2010 - Present
International Conference on Silicon Epitaxy and Heterostructures   January 2006 - January 2011
Atmel   May 2006 - October 2010
University of Colorado at Colorado Springs  January 2006 - October 2010
University of Colorado at Colorado Springs  January 2006 - May 2009
Atmel   2001 - 2006
Atmel   2000 - 2001
Atmel   1996 - 2000

Skills
Semiconductors, Design of Experiments, Six Sigma, FMEA, Lean Manufacturing, Device Characterization, Intellectual Property, Change Control, Thin Films, IC, CVD, Characterization, Electronics, PVD, Research, Innovation Development, Technological Innovation, Patents, Patent Portfolio..., Patent Searching, Patent Applications, Technology Transfer, Technology Integration, Technology Management, Consumer Electronics, Electronic Engineering, Fused Glass, Display Technology, Display Technologies, Failure Analysis, MEMS, Manufacturing, Microelectronics, Process Simulation, Semiconductor Industry, Silicon, Process Engineering, Engineering Management, R&D, SPC, Product Development, Engineering, Cross-functional Team...

Education
University of Colorado Colorado Springs   2004 — 2007
PhD, Electrical Engineering

University of Colorado Colorado Springs   2001 — 2004
MSEE, Electrical Engineering

University of Oklahoma   1986 — 1989
BSME, Mechanical Engineering (aerospace emphasis)

United States Military Academy at West Point   1984 — 1985
Studied Engineering and International Relations

Befruz Tasbas Befruz Tasbas San Diego, California Details
Befruz Tasbas's Atmel Experience May 1996 - November 1996
Job Staff Device Engineer at Peregrine Semiconductor
Industry Semiconductors
Experience
Peregrine Semiconductor  July 2011 - Present
Kalman & Company  September 2009 - July 2011
Qimonda  October 2007 - February 2009
Qimonda  May 2006 - September 2007
Infineon Technologies  2001 - 2006
Motorola Semiconductor  1997 - 2001
Freescale Semiconductor  1997 - 2001
Atmel   May 1996 - November 1996
Atmel   May 1995 - November 1995

Skills
JMP, Physics, Microcontrollers, Design of Experiments, SPC, Six Sigma, MEMS, BiCMOS, Semiconductors, CMOS, Process Integration, Yield, Project Management, Semiconductor Industry, Manufacturing, FMEA, Process Engineering

Education
Rochester Institute of Technology   1994 — 1997

Jim Yount Jim Yount Colorado Springs, Colorado Area Details
Jim Yount's Atmel Experience March 1998 - May 2015
Job Reliability Engineer at Cobham Semiconductor Solutions
Industry Semiconductors
Experience
Cobham Semiconductor Solutions  June 2015 - Present
Atmel   March 1998 - May 2015
STMicroelectronics  1995 - 1998

Skills
Process Integration, IC, Semiconductors, Diffusion, CMOS, Microelectronics, Failure Analysis, Process Engineering, Semiconductor Industry, Electronics, Thin Films, Analog, Design of Experiments, Silicon, ASIC, Yield

Education
Penn State University   1991 — 1995
PhD, Engineering Science

Penn State University   1986 — 1991
BS, Electrical Engineering

Sergie Galsinh Sergie Galsinh San Jose, California Details
Sergie Galsinh's Atmel Experience August 2008 - July 2012
Job
Industry Information Technology and Services
Experience
ITZ Consultants, LLC   July 2012 - Present
Align Technology  July 2012 - July 2014
Atmel   August 2008 - July 2012
Novellus Systems  January 2007 - August 2008
KLA-Tencor  December 2004 - January 2007
Intuit  September 2002 - January 2005
NetIQ  October 2001 - September 2002
Altera  April 1999 - September 2001
Synopsys  April 1997 - April 1999

Skills
SAP, SharePoint, Payroll, ADP, Ceridian, HRIS, PeopleSoft, Compensation, Benefits, ERP, Program Management, Integration, Change Management, Performance Management, Requirements Analysis, Business Analysis, Process Improvement, Testing, Visio, Problem Solving, Team Leadership, Team Building, MS Project, Vendor Management, People Management, Customer Relations, SOX, Project Planning, Cross-functional Team..., Strategic Planning, SQL, SDLC, Excel, Software Development, Automation, Coaching, Mentoring, Software Project..., Outsourcing, Data Analysis, Analysis, SaaS, SSO, SAML, LDAP, PMP, IT Service Management, PMO, Project Implementation, Business Process

Education
World At Work   2008 — 2011
Certified, CCP, Certified Compensation Professional (in progress)

Notre Dame de Namur University   2003 — 2008
Master's degree, Systems Management

San Jose State University   1998 — 2000
Master's degree, Economics

San Jose State University   1995 — 1998
Bachelor's degree, Economics

Workday

Kristian Monsen Kristian Monsen Mountain View, California Details
Kristian Monsen's Atmel Experience July 2003 - August 2004
Job Senior Software Engineer
Industry Computer Software
Experience
Google  January 2014 - Present
Google  October 2012 - December 2013
Google  November 2011 - October 2012
Google  January 2010 - November 2011
Norwegian Defense   November 2007 - December 2009
Consafe Logistics   May 2007 - October 2007
Opera Software  August 2004 - May 2007
Atmel   July 2003 - August 2004

Skills
C++, Java, HTML, Ruby, Python, C, Assembly, Android, Git, Web Development, Testing, Software Engineering

Education
Norwegian University of Science and Technology (NTNU)   1998 — 2003
MSc, IT

Haw Kuo Haw Kuo San Francisco Bay Area Details
Haw Kuo's Atmel Experience June 2008 - April 2012
Job Director of Special Projects at Clerky
Industry Computer Software
Experience
Clerky, Inc.   May 2015 - Present
500 Startups  November 2013 - May 2015
Gunderson Dettmer  May 2012 - November 2013
Atmel   June 2008 - April 2012
Morrison & Foerster LLP  April 2007 - June 2008
Jones Day  June 2005 - March 2007
Wilson Sonsini Goodrich & Rosati  November 2003 - June 2005

Skills
Mergers, Due Diligence, Corporate Governance, Intellectual Property, Corporate Law, Mergers & Acquisitions, Start-ups, Venture Capital

Education
San Jose State University   2002 — 2003
MBA

University of California, Berkeley   1999 — 2002
BA

Shashi Das Shashi Das San Francisco Bay Area Details
Shashi Das's Atmel Experience January 2006 - November 2008
Job
Industry Medical Practice
Experience
Bay Area Medical Billing   January 2009 - Present
Atmel   January 2006 - November 2008
Intel Corporation  2003 - April 2005
Sun Microsystems  July 1998 - November 2002

Skills
Complete Medical..., HIPAA, Embedded Systems, C, Semiconductors, Linux, Software Development, ASIC, Product Management, Perl, C++

Education
Stanford University

Andreas Eieland Andreas Eieland San Jose, California Details
Andreas Eieland's Atmel Experience January 2007 - January 2011
Job Director, Product Marketing at Atmel Corporation
Industry Semiconductors
Experience
Atmel Corporation  December 2014 - Present
Atmel Corporation  January 2011 - December 2014
Atmel   January 2007 - January 2011
Atmel Norway   June 2005 - December 2006
Firmenich Bjørge Biomarin   June 2004 - August 2004

Skills
Semiconductors, Microcontrollers, IC, Embedded Systems, ARM, Mixed Signal, Microprocessors, Hardware Architecture, Electronics, USB, Integrated Circuit..., Product Marketing, Firmware, Semiconductor Industry, Embedded Software, FPGA, Cross-functional Team..., Technical Marketing, Analog Circuit Design, Product Development, Digital Signal..., CMOS, Power Management

Education
Norwegian University of Science and Technology (NTNU)   2000 — 2005
Master of Science, Engineering cybernetics

George Korsh George Korsh San Francisco Bay Area Details
George Korsh's Atmel Experience 1985 - 1996
Job Patent Agent at Townsend and Townsend and Crew LLP
Industry Legal Services
Experience
Kilpatrick Townsend & Stockton LLP  May 2010 - Present
Fernandez & Associates, LLP   April 2009 - May 2010
George J. Korsh, LLC   October 2007 - January 2009
Intel  May 2003 - October 2007
Agate Semiconductor   1996 - 2003
Atmel   1985 - 1996
Intel  1984 - 1985
Intel  1980 - 1984
Siliconix  1979 - 1980
Intel  1976 - 1979

Skills
Intellectual Property, Patent Prosecution, Semiconductors, Electronics, Microprocessors, Prosecution, Litigation, Patents, IC, Patent Litigation, Due Diligence, CMOS, Start-ups, Trade Secrets, Engineering, Licensing

Education
University of California, Berkeley   1971 — 1976
MS, Electrical Engineering and Computer Science

Nitin Rane Nitin Rane Raleigh-Durham, North Carolina Area Details
Nitin Rane's Atmel Experience January 1997 - August 2001
Job SoC SI Phy HSIO System Consultant
Industry Consumer Electronics
Experience
IBM  2011 - 2014
SCC Inc  February 2010 - April 2011
Ericsson  2007 - December 2009
Qualcomm  2007 - 2007
Intel  September 2001 - December 2006
Atmel   January 1997 - August 2001
Nortel  2000 - 2001

Skills
Signal Integrity, Debugging, High Speed Interfaces, ASIC, FPGA, Embedded Systems, Verilog, SoC, Embedded Software, C, Architecture, Hardware, Emulation, Software Integration, Analysis, ARM, Microcontrollers, PCIe, RTL design, Hardware Design, System Architecture, Assembly Language, Firmware, System Design, Processors, Simulation, Laboratory Equipment, Device Drivers, Microprocessors, Matlab, Analog, RTOS, SystemVerilog, Cross-functional Team..., Technical Direction, Digital Signal..., Perl, Functional Verification, IC, Hardware Architecture

Education
Union College
MSEE, Electrical Engineering

Larry Hutchins Larry Hutchins Colorado Springs, Colorado Area Details
Larry Hutchins's Atmel Experience 1994 - 2005
Job Seeking career opportunities at Colorado
Industry Semiconductors
Experience
Colorado  Manufacturing EngineerSpectraneticsDecember 2010 - February 2012
Intel  October 2005 - August 2010
Atmel   1994 - 2005

Skills
Lean Manufacturing, Failure Analysis, Manufacturing, Electronics, FMEA, Six Sigma, Process Engineering, JMP, Continuous Improvement, Engineering, R&D, Cross-functional Team..., SPC, DOE, Design of Experiments, Process Simulation, Semiconductors, Thin Films, Product Management

Education
The University of New Mexico   1972 — 1983
Ph.D., Chemistry, Mathematics

Gene Chen Gene Chen San Francisco Bay Area Details
Gene Chen's Atmel Experience July 2008 - January 2011
Job Director, IT Information Security at JDSU
Industry Information Services
Experience
JDSU  December 2013 - Present
RunningAHEAD, LLC   October 2012 - Present
JDSU  November 2012 - December 2013
Atmel Corporation  January 2011 - October 2012
Atmel   July 2008 - January 2011
LSI Corporation  August 2007 - July 2008
LSI Corporation  October 2005 - August 2007
Engenio Information Technologies (LSI subsidiary)   May 2004 - October 2005
LSI Logic Corporation  November 1999 - May 2004
Taos Mountain  June 1998 - November 1999

Skills
Firewalls, LDAP, VPN, Active Directory, DNS, IDS/IPS, Network Security, Access Control..., Perl, Information Security..., Data Center, Cloud Computing, Vulnerability Assessment, Network Architecture, Enterprise Risk..., Enterprise Anti-Virus, IPAM, Cisco Technologies, Unix Shell Scripting, Penetration Testing, Enterprise Architecture, Strategic Planning, System Administration, Unix, TCP/IP, Encryption, Information Security, Application Delivery..., Public Key..., Encryption Technologies, Deep Packet Analysis, Network Admission..., ISO 27000, Content Filtering, Data Loss Protection, Windows, Network Administration, Enterprise Messaging

Education
Rensselaer Polytechnic Institute   1993 — 1997
Computer Systems Engineering

Bronx High School of Science   1989 — 1993

John Demirali John Demirali Greater Boston Area Details
John Demirali's Atmel Experience 1991 - 1994
Job uBlox Regional Sales Manager
Industry Electrical/Electronic Manufacturing
Experience
u-Blox America   March 2013 - Present
Renesas Electronics America  October 2008 - February 2013
NEC Electronics  October 2008 - August 2010
Universal Technology, Inc.   July 1994 - July 2008
Atmel   1991 - 1994
Fujitsu  1988 - 1991
Signetics  1986 - 1988
Data General  1983 - 1986

Skills
Semiconductors, ASIC, IC, Electronics, Key Account Management, Microcontrollers, Networking, Embedded Systems, Analog, Wireless, Semiconductor Industry, Manufacturing, EDA, LTE, SoC, Mixed Signal, FPGA, PCB design, Product Marketing, PCB Design

Education
Northeastern University   1978 — 1983
Bachelor of Science (BS), Electrical and Electronics Engineering

Bradley Holder Bradley Holder Monument, Colorado Details
Bradley Holder's Atmel Experience January 2004 - December 2008
Job Primary Patent Examiner at USPTO
Industry Computer & Network Security
Experience
USPTO  April 2009 - Present
Atmel   January 2004 - December 2008

Skills
Semiconductors, IC, ASIC, Mixed Signal, SoC, Analog, Semiconductor Industry, Embedded Systems, Debugging, EDA, Verilog, CMOS

Education
The University of Memphis   1975 — 1979
BSEE, Electrical and Electronics Engineering

Venkat Kalluri Venkat Kalluri San Francisco Bay Area Details
Venkat Kalluri's Atmel Experience 2000 - 2002
Job PM at Apple
Industry Computer Software
Experience
Apple  October 2011 - Present
ACS Systech Integrators  December 2009 - December 2011
ACS Systech Integrators  May 2007 - December 2009
Colorado Department of Transporation   2008 - 2009
GSI Technology  2007 - 2009
Fluor corporation  2006 - 2007
IBM Global Services  1999 - 2007
The Walt Disney Company  2002 - 2005
Atmel   2000 - 2002
PwC Consulting  1997 - 2002

Skills
SD, EDI, ABAP, Project Implementation, Channel Management, IDOC, BAPI, SAP R/3, ALV, SAP BW, EDIFACT, CO-PA, SAP EDI, SAP ERP, ERP, Master Data, SAP Implementation, CRM, Business Objects, SAP PS, SAP Netweaver, SAP SD, Order to Cash, Business Process, Pre-sales, Business Intelligence, Business Analysis, Integration, ECC, SAP, SAP CRM, SAP BI, SAP MM, SAP SRM, Materials Management, Channel Partners, SAPScript, Data Migration, SAP FI, SAP Project Management, SAP Portal, Master Data Management, SAP XI, ETL, Business Process Design, SAP PP, SAP FICO, Testing, Solution Architecture, SAP APO

Education
National Institute of Technology Calicut   1990 — 1992
Master of Technology, Manufacturing

Sri Venkateswara University   1985 — 1989
B. Tech, Mechanical Engineering

Brad Tree Brad Tree Baltimore, Maryland Area Details
Brad Tree's Atmel Experience 1991 - 2000
Job AE at Cadence
Industry Semiconductors
Experience
Cadence Design Systems  2000 - Present
Baltimore Comic-Con   2003 - 2011
Atmel   1991 - 2000

Education
Rochester Institute of Technology   1987 — 1992

Anil Godavarthi Anil Godavarthi San Francisco Bay Area Details
Anil Godavarthi's Atmel Experience 2003 - May 2008
Job Sr FAE at InvenSense, Inc.
Industry Semiconductors
Experience
InvenSense, Inc.   October 2011 - Present
Kionix, Inc.   June 2008 - September 2011
Atmel   2003 - May 2008
Atmel Corporation  1999 - 2002

Skills
Sensors, Microcontrollers, Semiconductors, Debugging, MEMS, Semiconductor Industry, ASIC, IC, Embedded Systems, Verilog, FPGA, SoC, Electronics, Analog, Mixed Signal, Embedded Software, ARM

Education
Wright State University   1998 — 1999

Osmania University   1993 — 1997
Bachelors, Electronics and Communications Engineering

Hyderabad Public School   1981 — 1991

Julia Novikoff Julia Novikoff Dallas/Fort Worth Area Details
Julia Novikoff's Atmel Experience 2000 - 2002
Job HR Director at Lockheed Martin
Industry Defense & Space
Experience
Lockheed Martin  October 2002 - Present
Atmel   2000 - 2002
Texas Instruments / Raytheon  1991 - 2000

Skills
Human Resources, Workforce Planning, Employee Relations, Talent Management, Succession Planning, Performance Management, Employee Engagement, Organizational..., Onboarding, HRIS, Deferred Compensation, Program Management, Executive Coaching, Talent Acquisition, Training, Leadership Development, Career Development

Education
Texas A&M University   1985 — 1991
Bachelor of Science (BS), Psychology

Texas A&M University   1985 — 1991
Master of Science (MS), Human Resources

George Smarandoiu George Smarandoiu San Francisco Bay Area Details
George Smarandoiu's Atmel Experience September 1992 - October 2002
Job Sr Director at ON Semiconductor
Industry Electrical/Electronic Manufacturing
Experience
ON Semiconductor  October 2008 - Present
Catalyst Semiconductor, Inc.   October 2002 - October 2008
Atmel   September 1992 - October 2002

Skills
Semiconductors

Education
University of California, Berkeley   1973 — 1978
PhD, EECS

Marc Pascetta Marc Pascetta Greater Boston Area Details
Marc Pascetta's Atmel Experience July 1999 - October 2000
Job Manager, Sensor Manufacturing Engineering at Allegro MicroSystems, Inc.
Industry Semiconductors
Experience
Allegro MicroSystems, Inc.   April 2011 - Present
Allegro Microsystems  August 2007 - April 2011
Intel  2000 - 2007
ATMEL   July 1999 - October 2000
Cherry Semiconductor  May 1993 - June 1999
General Electric  June 1989 - April 1993

Skills
Testing, Semiconductors, IC, CMOS, Failure Analysis, Device Characterization, Analog, Yield, Semiconductor Industry, Product Engineering, Silicon, JMP, Process Integration, Design of Experiments, SPC, Electronics, Mixed Signal, BiCMOS, Thin Films, Debugging, Test Engineering, Power Management

Education
Rensselaer Polytechnic Institute   1989 — 1992
Master of Engineering, Electrical Engineering

University of Massachusetts, Amherst   1985 — 1989
BS, Electrical Engineering

Bradford Keiser Bradford Keiser Tulsa, Oklahoma Area Details
Bradford Keiser's Atmel Experience February 1994 - August 2002
Job Manufacturing Engineer
Industry Computer Software
Experience
Contracting  October 2014 - Present
Photo Stencil, LLC  April 2006 - October 2014
Intel  October 2004 - April 2006
Sanmina-SCI  March 2001 - October 2004
Atmel   February 1994 - August 2002

Skills
Manufacturing, Semiconductors, C, Web Applications, Lean Manufacturing, Electronics, Process Improvement, Testing, Six Sigma, Product Development, Java, Cross-functional Team..., Troubleshooting, Access, Software Design, Database Design

Education
The University of Texas at San Antonio   1988 — 1992
Bachelor of Applied Science (BASc), Chemical Physics

Dan Pechiu Dan Pechiu San Francisco Bay Area Details
Dan Pechiu's Atmel Experience November 2003 - July 2007
Job Manager, VLSI Design at MoSys
Industry Semiconductors
Experience
MoSys  July 2007 - Present
Atmel   November 2003 - July 2007
LDIC   March 1999 - November 2003

Skills
Semiconductors, SoC, Mixed Signal, Analog Design, CMOS, IC, Matlab, SERDES, VLSI, Analog Circuit Design

Education
San Jose State University   1996 — 1998
BS, Electrical Engineering

Ohlone College   1992 — 1996
AS & AA

Garrett Philipp Garrett Philipp San Francisco Bay Area Details
Garrett Philipp's Atmel Experience March 1992 - April 2001
Job Contract Test Engineer at Synaptics
Industry Electrical/Electronic Manufacturing
Experience
Synaptics  March 2013 - June 2013
Actel  January 2002 - August 2006
Atmel   March 1992 - April 2001
National Semiconductor  August 1983 - January 1991
Teradyne  1979 - 1983

Skills
Testing, Yield, Semiconductors, Test Engineering, Programming

Education
University of California, Davis   1972 — 1976
BSEE

Paul Belemjian Paul Belemjian Baltimore, Maryland Area Details
Paul Belemjian's Atmel Experience January 1998 - August 1998
Job Digital Engineer at Naval Research Laboratory
Industry Computer Hardware
Experience
Naval Research Laboratory  July 2008 - Present
ITT  2008 - Present
Vicarious Visions  May 1999 - August 1999
Atmel   January 1998 - August 1998

Education
Rensselaer Polytechnic Institute   1999 — 2007
PhD, Computer Engineering

Rensselaer Polytechnic Institute   1995 — 1999
BS, Computer Engineering

Mandy Schwarz Mandy Schwarz San Francisco Bay Area Details
Mandy Schwarz's Atmel Experience May 2008 - Present
Job Global Sales Operation Manager at Atmel
Industry Semiconductors
Experience
Atmel   May 2008 - Present
Seagate Technology  January 2007 - May 2008
Infineon Technologies  May 2000 - January 2007

Skills
Semiconductors, Semiconductor Industry, Cross-functional Team..., Forecasting, Product Management, Product Marketing, Financial Analysis, SAP, Salesforce.com, Process Improvement, Wireless, Program Management

Education
San Jose State University
Bachelor, Finance

Jason Meiring Jason Meiring Portland, Oregon Area Details
Jason Meiring's Atmel Experience June 1998 - November 1998
Job Senior S/W Engineer at Mentor Graphics
Industry Semiconductors
Experience
Mentor Graphics  March 2014 - Present
IBM  January 2006 - March 2014
The University of Texas at Austin  August 2000 - May 2005
Motorola  June 1999 - November 1999
Atmel   June 1998 - November 1998
Xerox  June 1997 - November 1997

Skills
Matlab, C, C++, Tcl, Python, SVRF, Mentor Graphics, Calibre, OPC, Design Rules, Photolithography, SLITHO, Simulation, Predictive Modeling, Coventor, SEMulator 3D, Simulations, TCL, EDA, Semiconductors, Semiconductor Industry, CMOS, IC, Lithography, TWS, Software Development, Manufacturing, Process Simulation, Integration, Automation, Sensors, Perl

Education
The University of Texas at Austin   2000 — 2005
Ph.D., Chemical Engineering

Rochester Institute of Technology   1995 — 2000
B.S., Microelectronic Engineering

Alex Giakoumis Alex Giakoumis San Francisco Bay Area Details
Alex Giakoumis's Atmel Experience June 1997 - July 2008
Job Senior Director at LaserCard Corporation
Industry Information Technology and Services
Experience
LASERCARD CORPORATION (a subsidiary of HID GLOBAL)   September 2009 - Present
SST  August 2008 - August 2009
Atmel   June 1997 - July 2008
Solaic Smart Cards   1993 - 1997
Atos (Sligos, a subsidiary of Credit Lyonnais Bank)   1989 - 1992

Skills
Product Marketing, Partnerships, Contract Management, Pricing Strategy, Negotiation, P&L Responsibility, Marketing Strategy, International..., Management, Cross-functional Team..., Strategy, Strategic Partnerships, Product Management, Business Development, Semiconductors, Security

Education
Southern Methodist University   1986 — 1987
MS, Engineering Management

University of Cambridge   1974 — 1978
BSc, Electrical & Electronic Engineering

Southern Methodist University
Master of Sience in Electrical Engineering (MSEE), Electrical Engineering

Ken Leebelt Ken Leebelt Portland, Oregon Area Details
Ken Leebelt's Atmel Experience 1993 - 2006
Job Independent Insurance Professional
Industry Insurance
Experience
National Association for Self Employed   2007 - 2007
Atmel   1993 - 2006
Elecronic Sources   1977 - 2002

Skills
Product Marketing, New Business Development, Business Development, Account Management, Sales Management, Sales, Strategic Planning, Semiconductors, Management, Cross-functional Team..., Product Development, Marketing Strategy

Education
UC Riverside

Robert Lackey Robert Lackey Colorado Springs, Colorado Area Details
Robert Lackey's Atmel Experience September 1997 - November 2001
Job Network Engineer / Wireless Administrator at Evans Army Community Hospital, IMD Network Branch
Industry Information Technology and Services
Experience
Evans Army Community Hospital, IMD Network Branch   July 2011 - Present
7 Delta, Inc  April 2009 - June 2011
I.T. Contractor   December 2007 - July 2010
Colorado Community College System  January 2004 - November 2007
Atmel   September 1997 - November 2001
Zilog  December 1995 - August 1997
Zilog Corporation  1992 - 1997
National Semiconductor  1983 - 1992

Skills
TCP/IP, LAN/WAN, Troubleshooting, DNS, XP, DHCP, Wireless Networking, LAN-WAN, Switches, Windows Server, Routers, Cisco Technologies, Training, Networking, Servers, CCNA, Security, Software Installation, Software Documentation, Network Administration

Education
Almeda University   2001 — 2002
BA, Business Administration Management

Pikes Peak Community College   1979 — 1980
Associate of Science (A.S.), Electronics Technology

Don Banach Don Banach Greater Chicago Area Details
Don Banach's Atmel Experience October 1991 - March 2000
Job Sr. Field Applications Engineer at Micron Technology
Industry Semiconductors
Experience
Micron Technology  May 2010 - Present
Numonyx  April 2008 - September 2010
Intel  March 2000 - March 2008
Atmel   October 1991 - March 2000
Toshiba  February 1991 - October 1991
Seeq Technology  January 1988 - January 1991
Northrop Defense Systems  January 1983 - January 1988

Skills
SoC, Semiconductors, ASIC, Failure Analysis, Semiconductor Industry, CMOS, IC, Electronics, FPGA, Hardware Architecture, Wireless, EDA, Electrical Engineering, Cross-functional Team..., DRAM, Mixed Signal, Power Electronics, Embedded Systems, SSD, Analog Circuit Design, ARM, Silicon, Embedded Software, Digital Signal..., Integrated Circuit..., Product Management, Analog, Power Management, Processors, Bluetooth, Technical Marketing, Microcontrollers, PCIe, Microelectronics, Circuit Design, Simulations, Product Engineering, Engineering Management, USB, PCB design, Device Drivers, Firmware, Debugging, Flash Memory, Microprocessors, Functional Verification, Verilog, Test Engineering, Signal Integrity, VHDL

Education
Southern Illinois University, Carbondale   1978 — 1982
BS, Electrical Engineering

Richard Frausto Richard Frausto San Francisco Bay Area Details
Richard Frausto's Atmel Experience September 2008 - June 2010
Job Senior Front End Developer at Symantec
Industry Information Technology and Services
Experience
Symantec  February 2013 - Present
Atmel   September 2008 - June 2010
Veteran's Association   May 2008 - September 2008

Skills
XML, jQuery, CSS, MySQL, HTML, PHP, User Experience, ASP, JavaScript, Linux, Software Development, Apache, SQL, Unix, HTML 5, Java, Scrum, Agile Methodolgy, jQuery Mobile, Git, Subversion, HTML5, Microsoft SQL Server

Education
San Jose State University   2008 — 2009
Computer Science

Arik Florimonte Arik Florimonte San Francisco Bay Area Details
Arik Florimonte's Atmel Experience September 1997 - September 1998
Job Engineering Program Manager at KLATencor
Industry Semiconductors
Experience
KLA-Tencor  June 2012 - Present
KLA-Tencor  April 2011 - June 2012
KLA-Tencor  January 2005 - April 2011
Stanford Linear Accelerator Center  November 2003 - January 2006
KLA-Tencor  December 2003 - January 2005
Pacific Legacy, Incorporated   September 1998 - February 2003
Atmel   September 1997 - September 1998

Skills
Semiconductors, Design of Experiments, Metrology, Testing, Engineering, Manufacturing, Optics, Electronics, Semiconductor Industry, Product Development, Software Development, SPC, Engineering Management, Systems Engineering, Failure Analysis, Product Management

Education
University of California, Santa Cruz   2003 — 2006
Master of Science (M.S.), Physics

University of California, Santa Cruz   1989 — 1993
Bachelor of Arts (B.A.), Physics

Wm. S Hart High School

Benjamin du Haÿs Benjamin du Haÿs Montreal, Canada Area Details
Benjamin du Haÿs's Atmel Experience 2004 - 2004
Job CEO Mobeewave
Industry Information Technology and Services
Experience
Mobeewave  June 2011 - Present
Orange Business Services / FIME   September 2007 - June 2011
FIME  May 2006 - September 2007
Philips Semiconductors  April 2005 - May 2006
Atmel   2004 - 2004
Decathlon  June 1995 - September 2001

Skills
Mobile Payments, NFC, Mobile Devices, Payments, EMV, Strategy, Smart Cards, Start-ups, Strategic Partnerships, New Business Development, Management, International Sales, Acquiring, Analog, Mobile Commerce, Go-to-market Strategy, Business Intelligence, Entrepreneurship, Mobile, Strategy Development, Merchant Services, Start-up Ventures, Strategic Planning, Payment Systems, Startup Funding, Early-stage Startups, Startup Development, Corporate Development, Corporate Partnership..., Leadership, Team Building

Education
ENSICAEN - LaMIPs - NXP   2005 — 2006
PhD, Microelectronics

University of Nantes   1999 — 2004
Master's degree, Electrical and Electronics Engineering

Jon Boyette Jon Boyette Raleigh-Durham, North Carolina Area Details
Jon Boyette's Atmel Experience May 2001 - August 2007
Job Staff Engineer at Qualcomm
Industry Computer Hardware
Experience
Qualcomm  July 2013 - Present
smallHD  July 2012 - July 2013
3DMedia Corporation   March 2009 - July 2012
Insilica  September 2007 - February 2009
Atmel   May 2001 - August 2007

Skills
Verilog, C, C++, Perl, TCL, Static Timing Analysis, DFT, Functional Verification, RTL design, Clock Tree Synthesis

Education
North Carolina State University   1997 — 2001
BS, Computer Engineering

Litty Schure Litty Schure San Jose, California Details
Litty Schure's Atmel Experience 1997 - 1998
Job Legal Assistant at Law Offices of Alexander Schure
Industry Legal Services
Experience
CFO Performance Group   2015 - Present
Law Offices of Alexander Schure   2009 - Present
Cisco  2008 - 2009
Cisco  January 2007 - January 2008
Spansion  January 2005 - September 2005
Cypress  1999 - 2005
IC Works (acquired by Cypress Semiconductor)   1998 - 1999
Atmel   1997 - 1998
OPTi  July 1994 - June 1996

Skills
Go-to-market Strategy, Marketing Strategy, Marketing, Entrepreneurship, Event Planning, Lead Generation, Marketing Management, Strategy, Team Management, Sales Management, Vendor Management, Start-ups, Product Management, Management, Business Development, Sales, Product Marketing, Program Management, Salesforce.com, Pricing, Training, Strategic Partnerships

Education
Thunderbird School of Global Management   1990 — 1991
Master's degree, International Business

San Diego State University-California State University   1985 — 1987
Business, Marketing

Pepperdine University   1984 — 1985
Business

Brian Langedyk Brian Langedyk San Francisco Bay Area Details
Brian Langedyk's Atmel Experience June 2000 - June 2013
Job Global Pricing Analyst at Atmel Corporation
Industry Electrical/Electronic Manufacturing
Experience
Atmel Corporation  November 2013 - Present
Atmel   June 2000 - June 2013
Goodman Ball Inc   August 1994 - June 2000

Skills
Private Pilot, Airframe and Powerplant..., Defense, Sales Operations, Negotiation, Electronics, PowerPlant, Semiconductors, Security Clearance, RF, Manufacturing, Engineering, Training, Cross-functional Team..., Engineering Management, Management, Product Marketing, Program Management, Product Management

Education
San Jose State University   1987 — 1993
B.S, Aeronautics

Jim Boomer Jim Boomer Colorado Springs, Colorado Area Details
Jim Boomer's Atmel Experience October 2014 - Present
Job Staff Applications Engineer at Atmel
Industry Semiconductors
Experience
Atmel   October 2014 - Present
Fairchild Semiconductor  September 2012 - March 2014
Fairchild Semiconductor  June 2010 - September 2012
Fairchild Semiconductor  June 2009 - June 2010
Fairchild Semiconductor  November 2005 - June 2009
Fairchild Semiconductor  2001 - 2005
Fairchild Semiconductor  1997 - 2001
National Semiconductor  December 1995 - September 1996
National Semiconductor  1989 - 1996
National Semiconductor  February 1989 - November 1989

Skills
Semiconductors, IC, Verilog, CMOS, Debugging, PCB design, Product Development, Mixed Signal, Semiconductor Industry, Analog, ASIC, Visual Basic, VB.NET, Integrated Circuit..., Engineering Management, VLSI, Simulations, Hardware Architecture, SoC, Embedded Systems, Electronics, FPGA

Education
University of Southern Maine   1992 — 1996
MBA, Business

University of Cincinnati   1977 — 1982
BSECE, Electrical Engineering

Sam Chong Sam Chong San Francisco Bay Area Details
Sam Chong's Atmel Experience August 2009 - Present
Job Sr. Manager, Treasury Operations at Atmel
Industry Computer Hardware
Experience
Atmel   August 2009 - Present
Palm, Inc.   February 2000 - February 2009
Sun Microsystems  September 1999 - February 2000
Netscape  April 1998 - September 1999
Oracle  September 1989 - March 1998

Skills
Accounting, Cash Management, SAP, Treasury, Stocks, Treasury Management, Banking, Financial Reporting, Foreign Exchange

Education
University of California, Berkeley, Haas School of Business   1984 — 1987
BS, Finance and Accounting

Lixiang (Susan) Shu Lixiang (Susan) Shu San Francisco Bay Area Details
Lixiang (Susan) Shu's Atmel Experience January 2007 - June 2008
Job Senior Revenue Manager at LinkedIn, CPA
Industry Internet
Experience
LinkedIn  March 2015 - Present
LinkedIn  November 2010 - March 2015
LinkedIn  June 2008 - November 2010
Atmel   January 2007 - June 2008
Storek, Carlson & Strutz   June 2005 - January 2007
Housing for Independent People   August 2003 - May 2005
Suzhou New District Economics and Trade Bureau   July 1995 - February 2002

Skills
Auditing, Account Reconciliation, SEC Filings, Tax, Investments, Consolidation, Contract Management, Advertising, Salesforce.com, Revenue Recognition, Sarbanes-Oxley Act

Education
San Jose State University   2002 — 2003
Master, Accounting

Nanjing University
BA, English

苏州中学

苏州中学

Connie Sands Connie Sands San Jose, California Details
Connie Sands's Atmel Experience June 2007 - October 2008
Job Prosperity Passionista, Money's the Main Squeeze
Industry Professional Training & Coaching
Experience
Money's The Main Squeeze   January 2013 - Present
FlagShip Facilities Services   June 2011 - September 2011
Unity Care Group  August 2010 - January 2011
Sacred Heart Community Service  February 2009 - August 2010
Atmel   June 2007 - October 2008
Quest Diagnostics  December 2006 - June 2007
Fairchild Semiconductor  September 2005 - June 2006
Catholic Charities of Santa Clara County  October 2002 - April 2004
StarVox  May 2000 - April 2001

Skills
Employee Relations, Recruiting, Human Resources, New Hire Orientations, Job Descriptions, Personnel Management, Performance Management, HRIS, Onboarding, Organizational..., Employee Benefits, Coaching, Applicant Tracking..., Talent Acquisition, Benefits Administration, Payroll, Customer Service, Management, Technical Recruiting, Hiring, PeopleSoft, Performance Appraisal, Team Building, Interviews, College Recruiting, Training, Time Management, Start-ups, Employee Training, Talent Management, Leadership

Education
Lisa Sasevich - Speak to Sell   2013 — 2013
Selling Skills and Sales Operations

Brendon Burchard - Experts Academy   2012 — 2012
Entrepreneurship/Entrepreneurial Studies

Jeff Walker - Product Launch Formula   2012 — 2012

University of California, San Francisco   1981 — 1993
BS, Human Relations/Organizational Behavior

Event Host Inner Circle

Smitha Kaginele Smitha Kaginele San Francisco Bay Area Details
Smitha Kaginele's Atmel Experience April 2001 - August 2005
Job Staff Product Verification Engineer at PMCSierra
Industry Semiconductors
Experience
PMC-Sierra  August 2013 - Present
Integrated Device Technology Inc  November 2010 - Present
Altera Corp 2005 - 2009   August 2005 - 2009
Atmel   April 2001 - August 2005
Nikkel(I) Exports Corp   January 1999 - May 2000

Education
San Jose State University   2002 — 2004
MS, Electrical Engineering

University of Mysore   1994 — 1998
BS, Electronics & Communication

Bob Brunke Bob Brunke Greater Chicago Area Details
Bob Brunke's Atmel Experience March 2000 - November 2004
Job Global Account Manager at ON Semiconductor
Industry Semiconductors
Experience
ON Semiconductor  November 2004 - Present
Atmel   March 2000 - November 2004
Arrow Electronics  July 1996 - March 2000
Motorola  July 1990 - July 1996
Northrop Grumman  June 1986 - July 1990
United States Coast Guard  January 1982 - June 1986

Skills
Semiconductors, IC, Semiconductor Industry, Analog, Mixed Signal, ASIC, Electronics, Cross-functional Team..., Product Marketing, Wireless, SoC, Power Management, Product Management, RF, Embedded Systems, Account Management, Program Management, CRM, Product Development, Competitive Analysis, Contract Negotiation, Strategy, Key Account Management, Business Development

Education
Roosevelt University
Bachelors of Science

Allan (Wei-Lun) Huang Allan (Wei-Lun) Huang San Francisco Bay Area Details
Allan (Wei-Lun) Huang's Atmel Experience January 1997 - June 1997
Job Manager, Yield Enhancement Group at Microchip Technology
Industry Semiconductors
Experience
Microchip Technology  April 2010 - Present
Silicon Storage Technology  1997 - April 2010
Atmel   January 1997 - June 1997

Education
North Carolina State University   1994 — 1996
ECE, Solid State Engineering

National Tsing Hua University   1988 — 1993
B.A., EE Major, English Minor

Tainan First Senior High School   1985 — 1988

Ben Duncan Ben Duncan Raleigh-Durham, North Carolina Area Details
Ben Duncan's Atmel Experience 1998 - 2000
Job Systems/Network Administrator
Industry Information Technology and Services
Experience
EMS Performance Improvement Center   August 2013 - Present
HP  March 2008 - August 2013
Opsware  2005 - 2008
CTG  2001 - 2005
Atmel   1998 - 2000
DCT  1996 - 1998

Skills
Enterprise Architecture, Infrastructure, Servers, Solaris, Operating Systems, Linux, Cisco Technologies, HP, Virtualization, Data Center, Red Hat Linux, Integration, Networking, Network Design, Enterprise Software, Network Security, Security, VMware, Databases, Network Administration, Unix, Hardware, Troubleshooting, Cloud Computing, Storage, SAN, Technical Support, System Deployment, System Administration, Shell Scripting, Disaster Recovery, TCP/IP, Perl, Network Management..., VMware ESX, Team Building, MySQL, DNS, vSphere, Oracle, VPN, Microsoft Exchange, Firewalls

Education
North Carolina State University   1996 — 1997
Comp Science - Hired directly into industry from the company I was interning with, Undergrad Studies - Comp Sci

Casey McCoy Casey McCoy San Francisco Bay Area Details
Casey McCoy's Atmel Experience March 1998 - Present
Job ASIC Design Manager
Industry Electrical/Electronic Manufacturing
Experience
Atmel   March 1998 - Present
S3 Graphics  February 1997 - March 1998
Matra  July 1995 - February 1997
United Defense  June 1993 - July 1995
United States Department of Defense  October 1989 - June 1993

Skills
ASIC, Verilog, FPGA, Timing Closure, Integrated Circuit..., Static Timing Analysis, VHDL

Education
San Francisco State University   1993 — 1996
MS, Electrical Engineering

San Francisco State University   1984 — 1989
BS, Electrical Engineering

Steven Glaser Steven Glaser Austin, Texas Area Details
Steven Glaser's Atmel Experience January 2004 - January 2006
Job Senior Technician at Samsung Austin Semiconductor
Industry Semiconductors
Experience
Samsung Austin Semiconductor  July 2010 - Present
Cypress Semiconductor  August 2007 - January 2009
cypress  1997 - August 2007
FSI International  January 2006 - June 2007
Atmel   January 2004 - January 2006
Alcoa  March 2000 - August 2002

Skills
Semiconductors, Electronics, SPC, Root Cause Analysis, Lean Manufacturing, Cross-functional Team..., Failure Analysis, Engineering Management, Process Improvement, R&D, Design of Experiments, FMEA, Manufacturing, Reliability, Semiconductor Industry, JMP, Sputtering, Metrology, Six Sigma, Troubleshooting, Engineering, Process Engineering, Etching, Continuous Improvement, Operations Management, Electro-mechanical, Vacuum, Testing, Process Simulation, ISO, Diffusion, Quality Assurance, Thin Films, Automation, 5S, Photovoltaics, Program Management, Test Equipment, IC, CVD, Quality System, TPM, Lithography, Manufacturing Operations, Silicon, Product Development, PVD, Plasma Etch, Photolithography, Characterization

Education
Texas State Technical College   1991 — 1995

Texas State University   1987 — 1988
Political Science

Aaron Nishikawa Aaron Nishikawa Mebane, North Carolina Details
Aaron Nishikawa's Atmel Experience June 1999 - May 2002
Job Systems/Networking Professional
Industry Information Technology and Services
Experience
Aequor Technologies  May 2013 - June 2013
Lowe's Home Improvement  September 2006 - May 2012
Adams Financial   October 2006 - December 2008
Atmel   June 1999 - May 2002

Skills
Forecasting, Customer Service, Pricing, Windows 7, Windows XP Pro, Microsoft Office, Networking, Help Desk Support, Passion for Helping..., Passion for Technology, Passionate about work, Phone Manner, Customer Support

Education
Queensland University of Technology   2002 — 2005
Bachelor of I.T., Data Communications/Information Systems

Kevin Heher Kevin Heher San Francisco Bay Area Details
Kevin Heher's Atmel Experience 2004 - 2007
Job Sales Manager at Fairchild Imaging
Industry Semiconductors
Experience
Fairchild Imaging  September 2008 - Present
Salvador Imaging   June 2007 - August 2008
Photon Dynamics  2007 - 2008
Atmel   2004 - 2007
PerkinElmer  2000 - 2004
PerkinElmer  2000 - 2004

Skills
IC, Semiconductors, Marketing Communications, Sales, Electrical Engineering, Product Management, Embedded Systems, Manufacturing, Sales Management, Mixed Signal, R&D, Start-ups, FPGA, Cross-functional Team..., Digital Imaging, Product Marketing, Engineering Management, ASIC, Electronics, Negotiation, Sensors, Product Development, Semiconductor Industry, Wireless, Marketing, Optics, Six Sigma, Recruiting, New Business Development, SoC, Analog, Business Development, International Sales, SPC, Forecasting, Product Launch, Simulations, Program Management

Education
San Jose State University   1978 — 1983
Bachelor of Science, Concentration in Marketing

Rita Barfield Rita Barfield San Francisco Bay Area Details
Rita Barfield's Atmel Experience November 2006 - September 2008
Job Operating Clerk at Pacific Gas and Electric Company
Industry Utilities
Experience
Pacific Gas and Electric Company  January 2014 - Present
Everest College  February 2012 - December 2013
CTS Corporation  July 2009 - February 2012
Agilent Technologies  September 2008 - July 2009
Atmel   November 2006 - September 2008
Manpower  April 2006 - November 2006
Gentiva Rehab Without Walls  February 2005 - April 2006
MHC  July 2004 - February 2005
Sears  December 1997 - February 2004

Skills
Employee Relations, Social Networking, Employee Benefits, Onboarding, Applicant Tracking..., New Hire Orientations, Logistics, Training, Temporary Placement, Talent Management, Team Building, Administrative..., PeopleSoft, Time Management, Resume Writing, Management, Data Entry, Interviews, Deferred Compensation, Talent Acquisition, College Recruiting, Career Counseling, Hiring, Cold Calling, FMLA, Technical Recruiting, Employee Training, Human Resources, Administrative..., Job Fairs

Education
Oak Grove High School
Diploma

San Jose City College

Sam Ashman Sam Ashman Colorado Springs, Colorado Area Details
Sam Ashman's Atmel Experience July 1989 - Present
Job Product & Test Engineering Manager at Atmel
Industry Semiconductors
Experience
Atmel   July 1989 - Present
Motorola  1980 - 1985

Skills
Cross-functional Team..., Analog, Semiconductors, SoC, Test Engineering, RF, Mixed Signal, Engineering, Product Engineering, ASIC, CMOS, Semiconductor Industry, Manufacturing, Microcontrollers, IC, Yield, Characterization, Microprocessors, Project Management, Product Development, Electronics, Debugging, DFT, Failure Analysis, Engineering Management, Analog Circuit Design, JMP, Device Characterization, Microelectronics, Test Equipment, EDA, Silicon, Power Management, Team Management, Testing

Education
University of Colorado Colorado Springs   1986 — 1990
MBA, Operations Management and Supervision

The Ohio State University   1976 — 1980
BS, Engineering

Conneaut High School

Bijan Kamran Bijan Kamran Greater Seattle Area Details
Bijan Kamran's Atmel Experience Technical Solutions ManagerFuture Electronics2004 - 2007
Job FAE at Atmel
Industry Semiconductors
Experience
Atmel   Technical Solutions ManagerFuture Electronics2004 - 2007
Cypress Semiconductor  1998 - 2002

Education
Purdue University   1976 — 1978
BS, EE

Kevin Garman Kevin Garman Waco, Texas Area Details
Kevin Garman's Atmel Experience 2000 - 2002
Job Owner / Exclusive Agent at Garman Allstate Insurance
Industry Insurance
Experience
Garman Allstate Insurance   December 2007 - Present
Eclipse Shading   September 2002 - Present
Texas Instruments  2002 - 2007
Atmel   2000 - 2002

Education
Texas State Technical College   1992 — 1994
AAS, Laser Electro-Optics

Durant Lewis Durant Lewis Raleigh-Durham, North Carolina Area Details
Durant Lewis's Atmel Experience Field Applications EngineerBroadcom2001 - 2002
Job Field Applications Engineer at Atmel
Industry Semiconductors
Experience
Atmel   Field Applications EngineerBroadcom2001 - 2002
Telos  1994 - 1997

Education
North Carolina State University   1981 — 1987
BSEE, Engineering

Erick Wan Erick Wan San Francisco Bay Area Details
Erick Wan's Atmel Experience 2008 - 2010
Job Software Engineer
Industry Semiconductors
Experience
CloudShield   August 2013 - Present
CloudShield, an SAIC company   May 2011 - June 2013
Atmel   2008 - 2010
Atmel Corporation  1998 - 2008

Skills
Embedded Systems, Microcontrollers, Debugging, SoC, Perl, C, FPGA, Firmware, bash, Embedded Linux, Bash, Device Drivers, Linux, Testing, Software Development, Embedded Software

Education
University of California, Berkeley   1995 — 1998
BS, Engineering and Computer Science - Computer Science

Nicola Kamper Nicola Kamper Raleigh-Durham, North Carolina Area Details
Nicola Kamper's Atmel Experience July 2007 - December 2008
Job Fab product engineer, power MOSFETs at Cree
Industry Semiconductors
Experience
Cree  January 2011 - Present
IBM Information Management  2010 - December 2010
Solarvision   2009 - December 2010
Abound Solar  January 2009 - August 2009
Atmel   July 2007 - December 2008
KLA-Tencor  April 1993 - June 2001
Cypress Semiconductor  1985 - 1993

Skills
SPC, JMP, Semiconductors, Process Development, Product Engineering, DOE, Engineering, Six Sigma, Cross-functional Team..., Lean Manufacturing, Solar, Electronics, Problem Solving, Semiconductor..., Manufacturing, Failure Analysis, Design of Experiments, Continuous Improvement, Yield Enhancement, Engineering Management, Process Simulation, Yield, Process Engineering, Thin Films, R&D, IC, Process Integration, Simulations, Silicon, CVD, Plasma Etch, Materials Science

Education
San Jose State University
B.S., Physics

Tom Hwang Tom Hwang San Francisco Bay Area Details
Tom Hwang's Atmel Experience March 1989 - September 2012
Job Director, Serial Flash Product & Test Engineering at Adesto Technologies
Industry Semiconductors
Experience
Adesto Technologies  October 2012 - Present
Atmel   March 1989 - September 2012
Cypress Semiconductor  1988 - 1989
AMD  1984 - 1988
NCR  1979 - 1984

Skills
Semiconductors, IC, Product Engineering, CMOS, Flash Memory, Device Characterization, EEPROM, EPROM, Yield Management, Foundry Management, Product Development, Process Improvement, Microelectronics, Characterization, Failure Analysis, Yield, Test Engineering, Semiconductor Industry, Silicon, Process Integration, Debugging, SRAM

Education
University of Houston   1975 — 1979
PH D, Electrical Engineering

National Chiao Tung University   1968 — 1972
BSEE, Electronic Engineering

Rocsana-Mihaela Ionescu Rocsana-Mihaela Ionescu Austria area Details
Rocsana-Mihaela Ionescu's Atmel Experience 2004 - 2007
Job Senior Layout Engineer at Dialog Semiconductor
Industry Semiconductors
Experience
Dialog Semiconductor  November 2012 - Present
Maxim Integrated Products  October 2011 - October 2012
Maxim Integrated Products  January 2008 - September 2011
Atmel   2004 - 2007
Politehnica University of Bucharest - CETTI   2002 - 2003

Skills
LVS

Education
   2001 — 2006
Ing, Microelectronics

Liceul "Grigore Moisil"   1996 — 2001

Scoala Generala 309 Bucuresti

Henry Chung Henry Chung San Jose, California Details
Henry Chung's Atmel Experience February 2001 - November 2004
Job Design Verification Engineer, Sr MTS at Altera
Industry Semiconductors
Experience
Altera  November 2004 - Present
Atmel   February 2001 - November 2004

Skills
SystemVerilog, UVM, LEC, STA, Perl, TCL, Verilog, Altera, Mixed Signal, Static Timing Analysis, Timing Closure, FPGA

Education
The University of British Columbia   1996 — 2001
BASc, MASc, Electrical Engineering

Durant Lewis Durant Lewis Raleigh-Durham, North Carolina Area Details
Durant Lewis's Atmel Experience September 2003 - Present
Job FAE at Atmel
Industry Semiconductors
Experience
Atmel   September 2003 - Present
Broadcom  September 2001 - November 2002
Insight Electronics  April 1997 - February 2000
Telos Corporation  April 1994 - April 1997
Loral Quintron   March 1990 - April 1994

Education
North Carolina State University   1981 — 1986
BSEE, Engineering

Greg Fawley Greg Fawley Tucson, Arizona Details
Greg Fawley's Atmel Experience June 2008 - October 2010
Job Mine Engineer
Industry Mining & Metals
Experience
Redlen Technologies  September 2014 - May 2015
Intel Corporation  July 2012 - June 2014
Seagate Technology  October 2011 - July 2012
Freescale Semiconductor  October 2010 - August 2011
Atmel   June 2008 - October 2010
Qimonda  2007 - 2008
Hutchinson Technology  2005 - 2007
Cypress Semiconductor  2003 - 2005
KLA-Tencor  2000 - 2003
Westech Systems (IPEC)   1989 - 1990

Skills
Semiconductors, Design of Experiments, Chemical Mechanical..., Process Integration, Semiconductor Industry, CMP, Process Simulation, SPC, Manufacturing, Metrology, IC, Six Sigma, Lean Manufacturing, JMP, Continuous Improvement, CVD, Failure Analysis, Silicon

Education
Rose-Hulman Institute of Technology   1980 — 1984
BS, Chemical Engineering

Joe Wagovich Joe Wagovich Tucson, Arizona Area Details
Joe Wagovich's Atmel Experience June 2002 - September 2007
Job Sr. Electrical Engineer at Raytheon
Industry Defense & Space
Experience
Raytheon  August 2009 - Present
Insilica  September 2007 - December 2008
Atmel   June 2002 - September 2007
GE Healthcare  July 1997 - August 2000

Skills
Verilog, VHDL, ModelSim, TCL, Magma, FPGA, Xilinx, Actel, PCIe, Serial Communications, DDR3

Education
North Carolina State University   2000 — 2002
MS, Electrical Engineering

University of Virginia   1993 — 1997
BS, Electrical Engineering

FEI HU FEI HU Raleigh-Durham, North Carolina Area Details
FEI HU's Atmel Experience January 1999 - September 2007
Job Principal Engineer at Triad Semiconductor
Industry Computer Hardware
Experience
Triad Semiconductor  February 2015 - Present
Triad Semiconductor  February 2012 - Present
Marvell  December 2009 - January 2012
Insilica  September 2007 - December 2008
Atmel   January 1999 - September 2007

Skills
ASIC, SoC, Semiconductors, Embedded Systems, Verilog, IC, Debugging, C++, Mixed Signal, VLSI, Microprocessors, USB, SPI, Cadence Encounter, Cadence Spectre, Cadence, ModelSim, Altera Quartus, FPGA

Education
North Carolina State University   1997 — 1998
MSEE, Computer Engineering

Tsinghua University   1989 — 1994
BSEE, Electrical and Computer Engineering

Ivan Zellner Ivan Zellner Dallas/Fort Worth Area Details
Ivan Zellner's Atmel Experience April 1997 - December 2008
Job Firmware Engineer at Smart Start, Inc.
Industry Semiconductors
Experience
Smart Start, Inc.   June 2015 - Present
Abbott via Experis (a Manpower Company)   November 2012 - February 2015
A to Z Computing   July 2012 - November 2012
Nu Horizons Electronics  April 2010 - July 2012
A to Z Computing   January 2009 - May 2010
Atmel   April 1997 - December 2008
Quality Semiconductor   July 1995 - March 1997
Texas Instruments  May 1990 - July 1995
Texas Instruments  July 1985 - May 1990

Skills
Hardware Architecture, Hardware Engineers, Digital Design Engineer, Embedded Design Engineer, C/++/#, Java, VHDL/verilog, Atmel/ Freescale /..., Embedded C Programming..., Firmware Engineer, Software Engineering, PLD Design, FPGA design, Field Applications..., Sales Engineer, AVR /AVR32, ARM 7/9/Cortex M-3 and 4, CPLD Design, Programmable Logic, Microcontrollers, CPLD, Designs, Microchip, Electronics, Atmel, FPGA, Embedded Systems, Analog, AVR, CRM, PCB design, IC, Firmware, Semiconductors, ARM, Integrated Circuit..., Manufacturing, Schematic Capture, Embedded Software, PCB Design, ASIC, Wireless, Semiconductor Industry, Microprocessors, Testing

Education
The University of Texas at Arlington   1990 — 1995
MSEE, Electrical Engineering (DSP/Telecom)

The Ohio State University   1981 — 1985
BSCIS, Computer Science (Hardware)

Jocelyn Strebig Jocelyn Strebig Colorado Springs, Colorado Area Details
Jocelyn Strebig's Atmel Experience 2006 - 2008
Job Student at Pikes Peak Community College
Industry Electrical/Electronic Manufacturing
Experience
Atmel   2006 - 2008

Education
Pikes Peak Community College   2005 — 2010

Barbara Wu Barbara Wu San Francisco Bay Area Details
Barbara Wu's Atmel Experience October 1997 - September 2012
Job Product Marketing Manager at Adesto Technologies
Industry Semiconductors
Experience
Adesto Technologies  October 2012 - Present
Atmel   October 1997 - September 2012

Education
Sichuan International Studies University
B.A

University of Arizona
M.A

Allen Patkowski Allen Patkowski Phoenix, Arizona Area Details
Allen Patkowski's Atmel Experience November 2000 - April 2005
Job Production Operator at St. Jude Medical
Industry Semiconductors
Experience
St. Jude Medical  April 2012 - Present
Intel Corporation  October 2011 - April 2012
STMicroelectronics  February 2007 - November 2011
Microsemi Corp.  August 2005 - February 2007
Microsemi  2005 - 2007
Atmel   November 2000 - April 2005
Atmel   2001 - 2005

Education
Southwestern Oklahoma State University   1990 — 1991
n/a, drafting

Fabrice PICOT Fabrice PICOT San Francisco Bay Area Details
Fabrice PICOT's Atmel Experience 2006 - December 2010
Job Sr. CAD / PDK Manager (PhD) at Atmel Corporation
Industry Semiconductors
Experience
Atmel Corporation  January 2011 - Present
Atmel   2006 - December 2010
Atmel Corporation  1999 - 2006

Skills
Semiconductors, IC, ASIC, SoC, Mixed Signal, Semiconductor Industry, CMOS, Analog, EDA, Microelectronics, Verilog, Integrated Circuit...

Education
   1999 — 2002
Doctorat, Microelectronique

   1997 — 1998
DEA, Systemes Automatiques et Microelectroniques

PolyTech' Montpellier (ISIM)   1995 — 1998
Ingenieur, Microelectronique

Ken Starzynski Ken Starzynski Colorado Springs, Colorado Details
Ken Starzynski's Atmel Experience May 2002 - April 2013
Job Engineering Manager at Samtec Microelectronics
Industry Electrical/Electronic Manufacturing
Experience
Samtec Inc  April 2013 - Present
Atmel   May 2002 - April 2013
Atmel   February 2000 - April 2002
Applied Research Laboratory  January 1999 - January 2000

Skills
Six Sigma, Lean Manufacturing, Leadership, Training, Semiconductors, Design of Experiments, Cross-functional Team..., SPC, Continuous Improvement, Engineering, Process Engineering, Testing, Simulations, Manufacturing, OEE, Supervising, Quality Control, Cpk, Failure Modes, Stepper, Metrology, Semiconductor Industry, IC, Lean Management, Plastic Extrusion, Statistical Data..., Process Improvement, Manufacturing..., Failure Analysis, Photolithography, Yield, PVD, CVD, FMEA, JMP, Product Engineering, Engineering Management, Process Simulation

Education
Penn State University   1995 — 1999
BS, Materials Science

Villanova University   2008 — 2008
Six Sigma

Greater Nanticoke Area High School   1992 — 1995

Emily Winters Emily Winters Fort Collins, Colorado Area Details
Emily Winters's Atmel Experience February 2007 - June 2013
Job Senior Applications and Product Development Technician at Allied High Tech Products, Inc.
Industry Semiconductors
Experience
Allied High Tech Products, Inc.   February 2015 - Present
Sage Analytical Lab   July 2013 - January 2015
Giga Connections, Inc.   June 2011 - June 2013
Atmel   February 2007 - June 2013
Insight Analytical Labs   February 2001 - February 2007

Skills
Semiconductors, Debugging, Failure Analysis, IC, Characterization, Testing, Physics, Oscilloscope, Power Supplies, Etching, Semiconductor Industry

Education
Pikes Peak Community College   2000 — 2003
Associate of Arts and Sciences (A.A.S.), Computer Systems Networking and Telecommunications, 4.0

University of Colorado Colorado Springs
2 yrs. completed of Bachelor of Applied Science (B.A.Sc.), Electrical Engineering, 3.49

Eric Keul Eric Keul Colorado Springs, Colorado Area Details
Eric Keul's Atmel Experience January 1995 - December 2008
Job Electrical/Electronic Manufacturing Professional
Industry Electrical/Electronic Manufacturing
Experience
Atmel   January 1995 - December 2008

Education
Texas State University   1974 — 1977
BS, Geography, Meteorology, Biology

Bill Kosar Bill Kosar Colorado Springs, Colorado Area Details
Bill Kosar's Atmel Experience November 1999 - Present
Job Foundry business manager at Atmel
Industry Semiconductors
Experience
Atmel   November 1999 - Present
Philips  February 1984 - November 1999
Texas Instruments  May 1977 - February 1984

Skills
Microcontrollers, Microelectronics, Microprocessors, RF, Electronics, VLSI, Semiconductors, IC, Debugging, DFT, Semiconductor Failure..., Yield, Project Management, CMOS, BiCMOS, Foundry Management, Contract Negotiation, Data Analysis, Problem Solving, Manufacturing, SPC, Process Engineering, Engineering

Education
Rice University   1972 — 1977
BS, Mechanical eng and Material Science

Loyola Academy   1968 — 1972

William Toner William Toner Baltimore, Maryland Area Details
William Toner's Atmel Experience January 1999 - July 2012
Job Experienced in ASIC physical design and verification, ARM SoC integration and verification, hardware level programming
Industry Semiconductors
Experience
Cadence Design Systems  October 2012 - Present
Forefront Technologies Inc.   2003 - Present
Johns Hopkins University  2011 - 2015
Johns Hopkins University  2011 - 2015
Atmel   January 1999 - July 2012
Retrotech  1996 - 1998
Loranger International   1995 - 1996

Skills
RTL design, Primetime, Formal Verification, Verilog, Integrated Circuit..., SoC, ASIC, NCSim, Functional Verification, IC, EDA, Timing Closure, Low-power Design, Mixed Signal, VLSI, FPGA, VHDL, ATPG, Analog, ARM, RTL Design, Semiconductors, Embedded Systems

Education
Johns Hopkins University   2011 — 2017
Electrical and Computer Engineering, 4.0 to date

Rochester Institute of Technology   1993 — 1998
Bachelor of Science (BS), Computer Engineering

Jacklyn Dinh Jacklyn Dinh San Francisco Bay Area Details
Jacklyn Dinh's Atmel Experience 2006 - 2009
Job Accountant at PWE, Inc.
Industry Online Media
Experience
Perfect World Entertainment, Inc.   2012 - Present
Family Business  2009 - 2012
Atmel   2006 - 2009
Capcom  2001 - 2006
Interex  1997 - 2001

Skills
G/L Reconciliations, Month End Close, Account Reconciliation, Accounts Payable, Invoicing, Journal Entries, Auditing, General Ledger, Bank Reconciliation, Expense Reports, Sales Tax, Accounts Receivable, Accounting, Finance, Internal Audit

Education
San Jose State University
B.S., Accounting

Al Weiner Al Weiner Colorado Springs, Colorado Area Details
Al Weiner's Atmel Experience V.P. Product DevelopmentSimtek CorporationOctober 1987 - August 1995
Job Director, Design and Technology at Atmel
Industry Semiconductors
Experience
Atmel   V.P. Product DevelopmentSimtek CorporationOctober 1987 - August 1995

Education
Rensselaer Polytechnic Institute   1975 — 1980
BS/MS, Electrical Engineering / Physics

Andre Belanger Andre Belanger Orange County, California Area Details
Andre Belanger's Atmel Experience January 2013 - Present
Job Field Marketing Engineer for Wireless Products at Atmel
Industry Semiconductors
Experience
Atmel   January 2013 - Present
Ozmo Devices  April 2012 - January 2013
Renesas  January 2011 - April 2012
SC Cubed   March 1998 - November 2010
Cadence Design Systems  1996 - 1998
Unisys  June 1994 - June 1996

Skills
Semiconductors, Analog, Debugging, Microcontrollers, IC, Semiconductor Industry, ASIC, EDA, Microprocessors, Testing, CMOS, Hardware Architecture, Embedded Systems, Wireless, Failure Analysis, SoC, Digital Signal..., Firmware, Low-power Design, FPGA

Education
University of California, San Diego   1990 — 1994
BSEE, Electrical Engineering

Wei Xu (许娟雯) Wei Xu (许娟雯) San Francisco Bay Area Details
Wei Xu (许娟雯)'s Atmel Experience June 2008 - November 2010
Job Sr. Project Design Engineer at Molex
Industry Computer Hardware
Experience
Molex  March 2015 - Present
InsideSecure   June 2011 - 2014
Atmel   June 2008 - November 2010
LSI Logic  December 2006 - February 2008
IBM Corp.  May 2001 - December 2006

Skills
ASIC, Verilog, Semiconductors, Integrated Circuit..., Embedded Systems, ARM, Hardware Architecture, Microcontrollers, Processors, Embedded Software, SoC, VHDL, EDA, VLSI, Technical marketing..., technical customer..., SPIN sales, Finance, Firmware, Device Drivers, IAR Embedded workbench, Atmel AVR, Cryptography, FIPS, Payments, Account Management, Project Management, Security, Common Criteria, Internet of Things, Technical Product..., SSL, IPSec, MACsec, PKCS11, Secure Network..., secure microcontroller, TPM, EMV

Education
University of Colorado Colorado Springs   2008 — 2010
MBA, Finance and Project Management

Penn State University   2000 — 2002
MS, Computer Engineering

Nankai University   1995 — 2000
BS, Computer Science

Tian Da Fu Xiao

Lisa Lorelle Lisa Lorelle San Francisco Bay Area Details
Lisa Lorelle's Atmel Experience 2006 - 2006
Job Stock Administration
Industry Human Resources
Experience
Atmel   2006 - 2006
Symantec  2004 - 2006
Stock and Option Solutions   2002 - 2002
Exodus Communications  1999 - 2002
Etrade Financial  1997 - 1999
American Century Investments  1991 - 1997

Skills
Leadership, Human Resources, SaaS, Financial Services, Customer Service, Project Management, Mergers & Acquisitions, Employee Benefits, HRIS, Program Management, Management, Salesforce.com, Deferred Compensation, Process Improvement, Benefits Administration, Vendor Management

Education
Adrian C. Wilcox High School

San Jose State University
BA

Santa Clara University - Leavey School of Business
CEP Level 2, Equity Compensation

Carol Pleva Carol Pleva San Francisco Bay Area Details
Carol Pleva's Atmel Experience September 2007 - Present
Job Stock Administration Manager at Atmel
Industry Human Resources
Experience
Atmel   September 2007 - Present
Advanced Micro Devices  October 1985 - September 2007
Advanced Micro Devices  1985 - 2007

Skills
Employee Benefits, Management, Program Management, Process Improvement, Cross-functional Team..., Deferred Compensation, HRIS, Training, Employee Relations, Vendor Management

Education
University of California, Santa Barbara
Bachelor of Arts (BA), Cultural Anthropology

Ben Froemming Ben Froemming San Francisco Bay Area Details
Ben Froemming's Atmel Experience January 2002 - Present
Job Design Manager at Atmel
Industry Semiconductors
Experience
Atmel   January 2002 - Present
Medtronic  June 1997 - August 2000

Skills
Verilog, RTL design, Static Timing Analysis, Integrated Circuit..., Logic Synthesis, Digital IC Design, ASIC, Virtuoso, Primetime, SoC, IC, RTL Design, Debugging, Semiconductors, Mixed Signal, Embedded Systems, EDA

Education
Stanford University   2000 — 2002
MSEE, Electrical Engineering

University of Minnesota-Twin Cities   1996 — 2000
BSEE, Electrical Engineering

Aaron Tindill Aaron Tindill Colorado Springs, Colorado Area Details
Aaron Tindill's Atmel Experience January 2008 - Present
Job Production Operator 3 at Atmel
Industry Computer Hardware
Experience
Atmel   January 2008 - Present
Sanmina-SCI  June 1997 - October 2007

Skills
Hardware, Electronics, Troubleshooting, Software Documentation, Program Management, Quality Assurance, Testing, Analysis, Manufacturing, Programming, Integration, Process Improvement, Technical Writing, Computer Hardware, Continuous Improvement, Technical Support, Requirements Analysis, Software Installation, Security, Semiconductors, Visio, Automation, Test Equipment

Education
Pikes Peak Community College
Computer Information Systems

Ray Barth Ray Barth Greater Minneapolis-St. Paul Area Details
Ray Barth's Atmel Experience October 1996 - Present
Job Global Sales, Key Account Executive at Atmel
Industry Semiconductors
Experience
Atmel   October 1996 - Present
Zilog  June 1990 - October 1996
Sperry/Unisys Defense now Lockheed Martin   June 1985 - June 1990

Skills
ASIC, Semiconductors, Electronics, IC, Embedded Systems, Product Management, Semiconductor Industry, SoC, Product Marketing, Hardware Architecture, Product Development, Microcontrollers

Education
North Dakota State University   1981 — 1985
BS, Electrical and Electronic Engineering

Barry Pettis Barry Pettis Colorado Springs, Colorado Area Details
Barry Pettis's Atmel Experience Sr Process TechAtmel Corporation1994 - 2013
Job Lean Manufacturing Project Tech at Atmel
Industry Semiconductors
Experience
Atmel   Sr Process TechAtmel Corporation1994 - 2013

Skills
Semiconductors, Lean Manufacturing, SPC, IC, Semiconductor Industry, Failure Analysis, Design of Experiments, Electronics

Education
Pikes Peak Community College   1996 — 2000
Associate of Science (AS), Pre-Engineering

Kettle Moraine HS   1981 — 1985
Diploma

Roades Debra Roades Debra Colorado Springs, Colorado Area Details
Roades Debra's Atmel Experience December 2007 - Present
Job Senior Buyer at Atmel Corporation
Industry Information Technology and Services
Experience
Atmel Corporation  November 2010 - Present
Atmel   December 2007 - Present
Atmel Corporation  May 2010 - October 2010
Pepsi - Lane Sales Franchise Pepsi   March 1999 - August 2007

Skills
Accounts Payable, SAP, Account Reconciliation, General Ledger, Accounting, Sarbanes-Oxley Act, Auditing, Invoicing, Management, Training, Fixed Assets, Journal Entries

Education
Regis University   2005 — 2007
MBA, Accounting

University of Colorado Colorado Springs   1996 — 1999
BS, Accounting

Pikes Peak Community College   1991 — 1994
Transfer, Accounting

Alex Kerr MBA Alex Kerr MBA San Francisco Bay Area Details
Alex Kerr MBA's Atmel Experience 2005 - 2006
Job at Meritus LLC
Industry Management Consulting
Experience
Meritus LLC   2011 - Present
<>   2008 - 2011
Visa  2007 - 2008
TIBCO Software  2006 - 2007
Kaiser Permanente  2006 - 2006
Atmel   2005 - 2006
County of San Mateo  2003 - 2005
Elite Network   2003 - 2003
Lucent Technologies  2000 - 2003
Sun Microsystems  1999 - 1999

Education
University of San Francisco   1993 — 1995
MBA, Finance

University of California, Davis   1986 — 1990
B.S., Managerial Eonomics

Jermyn Tseng Jermyn Tseng Orange County, California Area Details
Jermyn Tseng's Atmel Experience August 2006 - June 2011
Job Design Engineer at Broadcom
Industry Semiconductors
Experience
Broadcom  July 2011 - Present
Atmel   August 2006 - June 2011
Intel  September 2004 - August 2006

Skills
Semiconductors, IC, CMOS, ASIC, Mixed Signal, SoC, Analog, Semiconductor Industry, Simulations, VLSI, Silicon, EDA, Verilog, Analog Circuit Design, Debugging, Product Engineering, Failure Analysis, DFT, Circuit Design, Design of Experiments

Education
National Taiwan University
BS, Chemical Engineering

University of California, Santa Barbara
Doctor of Philosophy (PhD)

Michelle Cang Michelle Cang San Francisco Bay Area Details
Michelle Cang's Atmel Experience August 2001 - May 2012
Job Senior Manager, Corporate Finance at Maxim Integrated
Industry Semiconductors
Experience
Maxim Integrated  January 2014 - Present
Marvell Semiconductor  May 2012 - January 2014
Atmel   August 2001 - May 2012
Flextronics  September 1998 - August 2001
Silicon Valley Group  January 1989 - September 1998

Skills
Sarbanes-Oxley Act, Revenue Recognition, Shared Service Center, SAP R/3, Account Reconciliation, General Ledger, Accounts Payable, Financial Reporting, Accounting, Forecasting, SEC filings, US GAAP, SAP, Cost Accounting, Consolidation, Financial Analysis, Management, SEC Filings

Education
San Jose State University   1997 — 2003
MBA, Business Administration and Management, General

San Jose State University   1992 — 1996
BS, Accounting

Josh Nichelson Josh Nichelson Portland, Oregon Area Details
Josh Nichelson's Atmel Experience July 2000 - August 2001
Job Equipment Engineer at Maxim Integrated
Industry Semiconductors
Experience
Maxim Integrated  August 2011 - Present
Applied Materials: (SBU) Semitool Business Unit.   July 2002 - October 2012
Semitool  2001 - 2009
Atmel   July 2000 - August 2001

Skills
CFD, Physics, Troubleshooting, Semiconductors, Start-ups, Design of Experiments, CVD, Testing, Nanotechnology, Process Simulation, PVD, Program Management, JMP, R&D, Plasma Etch, Photolithography, Labview, Engineering, Semiconductor Industry, SPC, Optics, Thin Films, Etching, Yield, Engineering Management, Analysis, Systems Engineering, Metrology, Manufacturing, FMEA, Robotics, Validation, Failure Analysis, Simulations, MEMS, Scanning Electron..., Process Engineering, Semiconductor Process, Silicon, CMOS, Process Integration, Materials Science, Materials, Electronics, Characterization, Lithography, C++, IC, Design for Manufacturing, Management

Education
Montana State University-Bozeman   1995 — 2000
B.S., Chemical Engineering

John Patty John Patty Raleigh-Durham, North Carolina Area Details
John Patty's Atmel Experience November 2006 - August 2012
Job Lead Design Engineer at Cadence Design Systems
Industry Semiconductors
Experience
Cadence Design Systems  October 2013 - Present
Open-Silicon  August 2012 - October 2013
Atmel   November 2006 - August 2012
IBM  January 2004 - November 2006
Kidde Aerospace  January 2003 - January 2004
Silicon Access Networks  January 2001 - May 2002
Ericsson  January 1997 - January 2001

Skills
Static Timing Analysis, ASIC, Verilog, RTL design, Functional Verification, EDA, Logic Design, SoC, TCL, ARM, Embedded Systems, IC, Hardware Architecture, Debugging, VHDL, FPGA, Semiconductors, Cadence, Perl, Microcontrollers, Xilinx, DFT, Scan Insertion, ATPG, AMBA AHB, AXI, Formal Verification, IP, HMC, Logic Synthesis, SERDES, SystemVerilog, Processors, Timing Closure, RTL coding, Microprocessors, Timing, Primetime, VLSI, NCSim

Education
North Carolina State University   1995 — 1997
MS, Computer Engineering

North Carolina State University   1990 — 1995
BS, Computer Engineering

Denise Ferguson Denise Ferguson Colorado Springs, Colorado Area Details
Denise Ferguson's Atmel Experience March 1997 - Present
Job Occupational Health Nurse at Atmel
Industry Semiconductors
Experience
Atmel   March 1997 - Present
United Technologies  1986 - 1997
Memorial Hospital in Colorado Springs   June 1981 - April 1986

Education
The College of St. Scholastica
Bachelor of Arts (BA), Registered Nursing/Registered Nurse

Patrick Santavenere Patrick Santavenere United States Details
Patrick Santavenere's Atmel Experience June 2008 - July 2012
Job Principal Application Engineer at Cadence
Industry Semiconductors
Experience
Cadence Design Systems  March 2013 - Present
Atmel   June 2008 - July 2012
Synopsys  1998 - 2006
Atmel Corporation  January 1992 - October 1998
Westinghouse Electric Company  1985 - 1991

Skills
Front-end hardware..., Verilog RTL, Static Timing Analysis, Logic Synthesis, Formal Verification, Gate-leve and RTL..., Design-For-Test, ATPG, Customer Support, EDA, Applications Engineer, Electrical Engineering, Digital Circuit Design, Verilog, Front-end, Simulations, ASIC, TCL, RTL, Testing, Primetime, Hardware Architecture, ARM

Education
The Johns Hopkins University
MS, Electrical Engineering

The Johns Hopkins University
BSEE, Electrical Engineering

JooYoung Kim JooYoung Kim San Jose, California Details
JooYoung Kim's Atmel Experience May 2004 - Present
Job Product Marketing Manager at Atmel
Industry Semiconductors
Experience
Atmel   May 2004 - Present
Chingis Technology Corporation   September 1999 - May 2004
Hynix  January 1992 - September 1999

Skills
Circuit Design, Semiconductors, IC, SoC, Semiconductor Industry, Analog, CMOS, Flash Memory, EDA, Silicon, Product Marketing, Product Management, Electronics, Cross-functional Team..., VLSI, Product Development, Engineering Management

Education
Sogang University   1990 — 1992
Master, Electronics Engineering

Kevin Mazurowski Kevin Mazurowski Colorado Springs, Colorado Area Details
Kevin Mazurowski's Atmel Experience 1995 - 1998
Job Senior Manufacturing Engineer at United Technologies
Industry Airlines/Aviation
Experience
United Technologies  January 2014 - Present
Goodrich  July 2010 - January 2014
dpiX  2007 - 2010
Ingersoll Rand Security Technologies  2002 - 2007
AVX  1999 - 2001
Atmel   1995 - 1998

Skills
5S, Lean Manufacturing, Manufacturing..., Data Analysis, Manufacturing..., Six Sigma, Kaizen, Value Stream Mapping, Continuous Improvement, Aircraft, Aerospace, Change Management, Project Management, Process Improvement, Cross-functional Team..., Operational Excellence, Inventory Management, Materials Management, Root Cause Analysis, MRP, SPC, Supply Management, Manufacturing Operations, Supply Chain Management, FMEA, Kanban, Manufacturing, Minitab, Supply Chain, Production Planning

Education
United States Air Force Academy   1979 — 1983
Bachelor of Science

Mike -Jan_Furman Mike -Jan_Furman San Luis Obispo, California Area Details
Mike -Jan_Furman's Atmel Experience 1994 - 2007
Job Independent Electrical/Electronic Manufacturing Professional
Industry
Experience
Atmel   1994 - 2007

Education
Santa Clara University
MBA, Business

Scott Rittenhouse Sr. Scott Rittenhouse Sr. Yoder, Colorado Details
Scott Rittenhouse Sr.'s Atmel Experience March 2010 - March 2011
Job Semiconductor Manufacturing Engineer
Industry Electrical/Electronic Manufacturing
Experience
Agilent Technologies  March 2011 - October 2011
Atmel   March 2010 - March 2011
Time Warmer Cable   November 2009 - March 2010
American Blue Ribbon Holdings  December 2006 - October 2009
Einstein Bros.  October 2001 - May 2006
LSI Corporation  January 1992 - July 2001

Skills
Microsoft Certified..., Quality System Engineer, Semiconductor..., Service / Call Center..., Product/Process Engineer, ISO standards

Education
San Jacinto College   1986 — 1990
BS, Electrical Engineering

Tee Overstreet Tee Overstreet Colorado Springs, Colorado Details
Tee Overstreet's Atmel Experience July 1993 - August 2007
Job Math Teacher at Widefield High School
Industry Education Management
Experience
Spin Clean Laundry   January 2010 - Present
Security Coin Laundry   August 2009 - Present
Jet Wing Car Wash   August 2009 - Present
Widefield High School  July 2008 - Present
Atmel   July 1993 - August 2007

Education
Regis College   2003 — 2007
BS, Mathematics

Widefield High School   1988 — 1992

Wanda McNew Wanda McNew Colorado Springs, Colorado Details
Wanda McNew's Atmel Experience October 1993 - October 1998
Job educational asisstant at Community Partnership for Child Development
Industry Nonprofit Organization Management
Experience
Community Partnership for Child Development  October 2007 - Present
Silver Key Senior Services  October 2002 - July 2003
atmel   October 1993 - October 1998

Skills
Program Development, Grant Writing, Tutoring, Fundraising, Youth Development, Staff Development, Public Speaking

Education
Nebraska Wesleyan University   1970 — 1974
psychology

Select page

1 2 3


Similar Companies